skip to main content
research-article

Designing single-cycle long links in hierarchical NoCs

Published: 01 November 2014 Publication History

Abstract

Hierarchical topologies are frequently proposed for large Networks-on-Chip (NoCs). Hierarchical architectures utilize, at the upper levels, long links of the order of the die size. RC delays of long links might reach dozens of clock cycles in advanced technology nodes, if delay reduction techniques (e.g. wire sizing and repeater insertion) are not applied. Some proposals assume that long links can be adjusted to satisfy timing requirements, but lack a deep evaluation of the tradeoffs and costs. Other proposals assume that long links must be pipelined, but do not provide a comprehensive justification.In this paper we evaluate the efficiency and the system costs of wire sizing and repeater insertion as methods to reduce link delays in hierarchical NoCs. We present a unified interconnect cost function that accounts for power and wiring overheads of these methods. Then, we quantify the costs of modifying long links in typical hierarchical NoCs for different target clock frequencies and technology nodes. Although long links might undergo aggressive adjustments, we find these overall costs to be low at the system level for typical cases, taking into account that there are only a few long links in most proposed hierarchical NoC architectures. A preliminary short version of this work entitled "Design Tradeoffs of Long Links in Hierarchical Tiled Networks-on-Chip (NoCs)" was presented in the 16th Euromicro Conference on Digital System Design (DSD), 2013.

References

[1]
R. Manevich, I. Cidon, A. Kolodny, Handling global traffic in future CMP NoCs, in: Proceedings of International Workshop on System Level Interconnect Prediction (SLIP), 2012.
[2]
C. Puttmann, J. Niemann, M. Porrmann, U. Ruckert, GigaNoC - a hierarchical network-on-chip for scalable chip-multiprocessors, in: Proceedings of the Euromicro Conference on Digital System Design (DSD), 2007.
[3]
S. Bourduas, Z. Zilic, A hybrid ring/mesh interconnect for network-on-chip using hierarchical rings for global routing, in: Proceedings of the International Symposium on Networks-on-Chip (NoCs), 2007.
[4]
J. Kim, W.J. Dally, D. Abts, Flattened butterfly topology for on-chip networks, in: Proceedings of the Annual International Symposium on Microarchitecture, 2007.
[5]
M. Winter, S. Prusseit, P. Gerhard, Hierarchical routing architectures in clustered 2D-mesh networks-on-chip, in: Proceedings of International SoC Design Conference (ISOCC), 2010.
[6]
U. Ogras, R. Marculescu, "It's a small world after all": NoC performance optimization via long-range link insertion, Trans. Large Scale Integr. (VLSI) Syst., 14 (2006) 693-706.
[7]
W. Elmore, The transient response of damped linear network with particular regard to wide-band amplifiers, Appl. Phys., 19 (1948) 55-63.
[8]
S. Sapatnekar, RC interconnect optimization under the Elmore delay model, in: Proceedings of the Annual Design Automation Conference (DAC), 1994.
[9]
J. Cong, K.S. Leung, Optimal wire sizing under Elmore delay model, Trans. Computer-aided Des. Integr. Circ. Syst., 14 (1995) 321-336.
[10]
H. Bakoglu, Circuits, Interconnections and Packaging for VLSI, Addison-Wesley, 1990.
[11]
K. Banerjee, A. Mehrotra, A power optimal repeater insertion methodology for global interconnects in nanometer designs, Trans. Electron Dev., 49 (2002) 2001-2007.
[12]
X. Li, J. Mao, H. Huang, Y. Liu, Global interconnect width and spacing optimization for latency, bandwidth and power dissipation, Transa. Electron Dev., 52 (2005) 2272-2279.
[13]
L. Scheffer, Methodologies and tools for pipelined on-chip interconnect, in: Proceedings of the International Conference on Compute Design: VLSI in Computers and Processors (ICCD), 2002.
[14]
M. Chang, J. Cong, A. Kaplan, M. Naik, G. Reinman, E. Socher, S.W. Tam, CMP network-on-chip overlaid with multi-band RF-interconnect, In: Proceedings of the International Symposium on High Performance Computer Architecture (HPCA), 2008.
[15]
A. Shacham, K. Bergman, L.P. Carloni, Photonic networks-on-chip for future generations of chip multiprocessors, Trans. Comput., 57 (2008) 1246-1260.
[16]
R. Dobkin, Y. Perelman, T. Liran, R. Ginosar, A. Kolodny, High rate wave-pipelined asynchronous on-chip bit-serial data link, in: Proceedings of the International Symposium on Asynchronous Circuits and Systems (ASYNC), 2007.
[17]
D. Wentzlaff, P. Griffin, H. Hoffmann, L. Bao, B. Edwards, C. Ramey, M. Mattina, C.C. Miao, J.F. Brown, A. Agarwal, On-chip interconnection architecture of the tile processor, IEEE Micro, 27 (2007) 15-31.
[18]
A. Olofsson, A 1024-core 70 GFLOP/W floating point manycore microprocessor, in: Poster at High Performance Embedded Computing Workshop (HPEC), 2011.
[19]
Kalray MPPA 256, <http://www.kalray.eu/products/mppa-manycore/mppa-256/>.
[20]
K. Moiseev, S. Wimer, A. Kolodny, Timing optimization of interconnect by simultaneous net-ordering, wire sizing and spacing, in: Proceedings of the International Symposium on Circuits and Systems (ISCAS), 2006.
[21]
A. Pullini, F. Angiolini, S. Murali, D. Atienza, G. De Micheli, L. Benini, Bringing NoCs to 65 nm, Micro IEEE, 27 (2007) 75-85.
[22]
M. Ferraresi, G. Gobbo, D. Ludovici, D. Bertozzi, Bringing network-on-chip links to 45nm, in: Proceedings of the International Symposium on System-on-Chip (SoC), 2011.
[23]
D. Goren, M. Zelikson, T. Galambos, R. Gordin, B. Livshitz, A. Amir, A. Sherman, I. Wagner, An interconnect-aware methodology for analog and mixed signal design, based on high bandwidth (over 40 GHz) on-chip transmission line approach, in: Proceeding of Design, Automation and Test in Europe Conference and Exhibition (DATE), 2002.
[24]
A. Deutsch, P.W. Coteus, G.V. Kopscay, H.H. Smith, C.W. Surovic, B.L. Krauter, D.C. Edelstein, P.J. Restle, On-chip wiring design challenges for gigahertz, Proc. IEEE, 89 (2001) 529-555.
[25]
R. Ho, K. Mai, M. Horowitz, Efficient on-chip global interconnects, in: Proceeding of the Symposium on VLSI Circuits, 2003.
[26]
R. Manevich, I. Cidon, A. Kolodny, Dynamic traffic distribution among hierarchy levles in hierarchical networks-on-chip (NoCs), in: Proceedings of the International Conference on Networks-on-Chip (NoCs), 2013.
[27]
D. Ludovici, F. Gilabert, S. Medardoni, C. Gomez, M.E. Gomez, P. Lopez, G.N. Gaydadjiev, D. Bertozzi, Assessing fat-tree topologies for regular network-on-chip design under nanoscale technology constraints, in: Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE), 2009.
[28]
D. Greenfield, A. Banerjee, J.G. Lee, S. Moore, Implications of Rent's rule for NoC design and its fault tolerance, in: Proceedings of the International Symposium on Networks-on-Chip (NoCs), 2007.
[29]
W. Heirman, J. Dambre, D. Stroobandt, J. Campenhout, Rent's rule and parallel programs: characterising network traffic behaviour, in: Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP), 2008.
[30]
The international technology roadmap for semiconductors (ITRS). <http://www.itrs.net/>.
[31]
D.A. Papa, I.L. Markov, State of the art in physical synthesis, Multi-objective Optim. Phys. Synth. Integr. Circ., 166 (2013) 11-18.
[32]
G. Santos, T. Reimann, M. Johann, R. Reis, The fidelity property of the Elmore delay model in actual comparison of routing algorithms, in: Proceedings of the International Conference on Computer Design (ICCD), 2010.
[33]
A.I. Abou-Seido, B. Nowak, C. Chu, Fitted Elmore delay: a simple and accurate interconnect delay model, Trans. Large Scale (VLSI) Syst., 12 (2004) 691-696.
[34]
Y.I. Ismail, E.G. Friedman, J.L. Neves, Figures of merit to characterize the importance of on-chip inductance, Trans. VLSI Syst., 7 (1999) 442-449.
[35]
S. Wong, G. Lee, D. Ma, Modeling of interconnect capacitance, delay, and crosstalk in VLSI, Trans. Semicond. Manuf., 13 (2000) 108-111.
[36]
On-chip parallel links calculator. <http://ranman.eew.technion.ac.il/on-chip-parallel-links-calculator/>.
[37]
Y. Ben-Itzhak, I. Cidon, A. Kolodny, Optimizing heterogeneous NoC design, in: Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP), 2012.

Cited By

View all
  1. Designing single-cycle long links in hierarchical NoCs

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image Microprocessors & Microsystems
    Microprocessors & Microsystems  Volume 38, Issue 8
    November 2014
    342 pages

    Publisher

    Elsevier Science Publishers B. V.

    Netherlands

    Publication History

    Published: 01 November 2014

    Author Tags

    1. Hierarchical NoCs
    2. In-chip interconnect
    3. Network-on-Chip
    4. NoCs
    5. Repeaters
    6. Wire sizing

    Qualifiers

    • Research-article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 05 Feb 2025

    Other Metrics

    Citations

    Cited By

    View all

    View Options

    View options

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media