JP2918892B2 - プラズマエッチング処理方法 - Google Patents
プラズマエッチング処理方法Info
- Publication number
- JP2918892B2 JP2918892B2 JP63256953A JP25695388A JP2918892B2 JP 2918892 B2 JP2918892 B2 JP 2918892B2 JP 63256953 A JP63256953 A JP 63256953A JP 25695388 A JP25695388 A JP 25695388A JP 2918892 B2 JP2918892 B2 JP 2918892B2
- Authority
- JP
- Japan
- Prior art keywords
- etching
- gas
- plasma
- cycle
- power
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000000034 method Methods 0.000 title claims description 31
- 238000001020 plasma etching Methods 0.000 title claims description 23
- 238000005530 etching Methods 0.000 claims description 166
- 230000008021 deposition Effects 0.000 claims description 64
- 238000012545 processing Methods 0.000 claims description 30
- 230000008569 process Effects 0.000 claims description 6
- 239000007789 gas Substances 0.000 description 145
- 230000000694 effects Effects 0.000 description 20
- 239000000463 material Substances 0.000 description 9
- 239000007772 electrode material Substances 0.000 description 8
- 229910052710 silicon Inorganic materials 0.000 description 5
- 229910004298 SiO 2 Inorganic materials 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- 238000007514 turning Methods 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 230000000737 periodic effect Effects 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000003754 machining Methods 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 230000001360 synchronised effect Effects 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 2
- 229910021342 tungsten silicide Inorganic materials 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000000295 emission spectrum Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000005459 micromachining Methods 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3085—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/913—Diverse treatments performed in unitary chamber
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- ing And Chemical Polishing (AREA)
Description
【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、プラズマエッチング処理方法に係り、特に
放電処理ガスとしてデポジションガスとエッチングガス
とを交互に周期的に送給して、異なるガス雰囲気中で交
互にプラズマ処理するいわゆるガスチョッピング、もし
くはタイムモジュレーテッドエッチングに好適なプラズ
マエッチング処理方法に関する。
放電処理ガスとしてデポジションガスとエッチングガス
とを交互に周期的に送給して、異なるガス雰囲気中で交
互にプラズマ処理するいわゆるガスチョッピング、もし
くはタイムモジュレーテッドエッチングに好適なプラズ
マエッチング処理方法に関する。
半導体LSIの微細化、高集積化に伴い、その製造プロ
セスにおけるエッチングガス加工技術もサブμmレベル
となり極めて高精度の加工技術が要求されている。この
種の微細加工になると、加工口径rに対する加工深さd
の割合d/rで表示されるアスペクト比が大きくなり、こ
のアスペクト比が大きいほどエッチング速度が小さくな
り、また、パターンサイズにより加工形状にも差が生じ
てくる。
セスにおけるエッチングガス加工技術もサブμmレベル
となり極めて高精度の加工技術が要求されている。この
種の微細加工になると、加工口径rに対する加工深さd
の割合d/rで表示されるアスペクト比が大きくなり、こ
のアスペクト比が大きいほどエッチング速度が小さくな
り、また、パターンサイズにより加工形状にも差が生じ
てくる。
本発明者らは、この種の微細加工において、エッチン
グ速度のアスペクト比依存性を低減するため、マイクロ
波のプラズマエッチング装置を用い、エッチングガスと
してSF6、デポジションガスとしてCCl4を用い、これら
のガスを順次数秒毎に交互に切替えて、SF6のプラズマ
処理とCCl4のプラズマ処理とを周期的に行う方法を提案
した。この方法は時間的にガス種を切替えることから通
称ガスチョッピングあるいはタイムモジュレーテッド
(略してTM)エッチング法と呼ばれているが、これらの
ガスを同時に混合してプラズマ処理する方法に比較して
アスペクト比依存性の改善効果は顕著である。なお、こ
の種の技術に関しては、第35回応用物理学関係連合講演
会、予稿集28p−G−5、1988年3月28日及び第18回固
体素子コンファレンス、第229〜232頁、1986年〔The 18
th(1986 International)Conference〕on Solid State
Devices and Materials,Tokyo,1986,pp.229−232〕に
て論じられている。
グ速度のアスペクト比依存性を低減するため、マイクロ
波のプラズマエッチング装置を用い、エッチングガスと
してSF6、デポジションガスとしてCCl4を用い、これら
のガスを順次数秒毎に交互に切替えて、SF6のプラズマ
処理とCCl4のプラズマ処理とを周期的に行う方法を提案
した。この方法は時間的にガス種を切替えることから通
称ガスチョッピングあるいはタイムモジュレーテッド
(略してTM)エッチング法と呼ばれているが、これらの
ガスを同時に混合してプラズマ処理する方法に比較して
アスペクト比依存性の改善効果は顕著である。なお、こ
の種の技術に関しては、第35回応用物理学関係連合講演
会、予稿集28p−G−5、1988年3月28日及び第18回固
体素子コンファレンス、第229〜232頁、1986年〔The 18
th(1986 International)Conference〕on Solid State
Devices and Materials,Tokyo,1986,pp.229−232〕に
て論じられている。
上記従来のTMエッチング法は、確かにアスペクト比依
存性がかなり改善されてきた。しかし、加工部のパター
ンサイズによりエッチング速度差が少なくなってきたと
は云え、その間隙が0.5μm程度であり、更に0.3μm以
下という厳しい微細加工に至っては、まだ実用に足る加
工精度が得られていない。つまり、一般にマイクロロー
ディング効果と呼ばれている加工寸法が狭いところと広
いところでのエッチング速度の差が依然として問題であ
る。この種の狭い間隙と、より広い間隙とから成るパタ
ーンサイズの異なる加工領域を同一面に有する被加工面
を、それぞれの領域のエッチング速度差を可能な限り少
なくして同時にエッチング加工する、つまり、マイクロ
ローディング効果を減じて加工する実用性のあるプラズ
マエッチング処理方法及び処理装置を実現することが当
面の技術課題である。
存性がかなり改善されてきた。しかし、加工部のパター
ンサイズによりエッチング速度差が少なくなってきたと
は云え、その間隙が0.5μm程度であり、更に0.3μm以
下という厳しい微細加工に至っては、まだ実用に足る加
工精度が得られていない。つまり、一般にマイクロロー
ディング効果と呼ばれている加工寸法が狭いところと広
いところでのエッチング速度の差が依然として問題であ
る。この種の狭い間隙と、より広い間隙とから成るパタ
ーンサイズの異なる加工領域を同一面に有する被加工面
を、それぞれの領域のエッチング速度差を可能な限り少
なくして同時にエッチング加工する、つまり、マイクロ
ローディング効果を減じて加工する実用性のあるプラズ
マエッチング処理方法及び処理装置を実現することが当
面の技術課題である。
本発明の目的は、上記課題を解決することにあり、マ
イクロローディング効果を減じて0.3μm以下の微細加
工を可能とする改良されたプラズマエッチング処理方法
を提供することにある。
イクロローディング効果を減じて0.3μm以下の微細加
工を可能とする改良されたプラズマエッチング処理方法
を提供することにある。
上記目的は、デポジションガスとエッチングガスとを
所定時間間隔で交互に切替えて周期的にガス交換をしな
がらプラズマ処理するタイムモジュレーテッドプラズマ
エッチング処理方法において、エッチングガス周期に入
る前にプラズマ発生のための電力を投入してプラズマ放
電を開始し、エッチングガス周期のほぼ終点で遮断し、
引続き所定の放電停止期間をおいてデポジションガス周
期中に再度電力を投入し、ガス切替えの時間スケジュー
ルに合わせてプラズマ発生の電力を周期的に投入するこ
とを特徴とするプラズマエッチング処理方法により、達
成される。そして好ましくは上記エッチングガス周期の
少なくとも初期に所定時間、エッチングガス周期を越え
ない範囲内で、高周波バイアス電力を被処理試料もしく
は、その周囲にエッチングガス周期と連動させて周期的
に投入することがあり、また、上記デポジションガス周
期中におけるプラズマ発生のための電力の遮断停止期間
をtとしたとき、プラズマ処理の停止された雰囲気中の
残留エッチングガス圧力VEに対する全ガス圧力(VE+
VD)の比が ただしVDはデポジションガス圧力 を少なくとも満足するに至る時間tとすることである。
所定時間間隔で交互に切替えて周期的にガス交換をしな
がらプラズマ処理するタイムモジュレーテッドプラズマ
エッチング処理方法において、エッチングガス周期に入
る前にプラズマ発生のための電力を投入してプラズマ放
電を開始し、エッチングガス周期のほぼ終点で遮断し、
引続き所定の放電停止期間をおいてデポジションガス周
期中に再度電力を投入し、ガス切替えの時間スケジュー
ルに合わせてプラズマ発生の電力を周期的に投入するこ
とを特徴とするプラズマエッチング処理方法により、達
成される。そして好ましくは上記エッチングガス周期の
少なくとも初期に所定時間、エッチングガス周期を越え
ない範囲内で、高周波バイアス電力を被処理試料もしく
は、その周囲にエッチングガス周期と連動させて周期的
に投入することがあり、また、上記デポジションガス周
期中におけるプラズマ発生のための電力の遮断停止期間
をtとしたとき、プラズマ処理の停止された雰囲気中の
残留エッチングガス圧力VEに対する全ガス圧力(VE+
VD)の比が ただしVDはデポジションガス圧力 を少なくとも満足するに至る時間tとすることである。
上記、プラズマ発生のための電力は、マイクロ波電力
が好ましいが、必ずしもこれに限られず、平行平板型の
電極に高周波電力を投入してもよく、周知のプラズマ発
生源が使用可能であることは云うまでもない。
が好ましいが、必ずしもこれに限られず、平行平板型の
電極に高周波電力を投入してもよく、周知のプラズマ発
生源が使用可能であることは云うまでもない。
次に上記本発明のプラズマエッチング処理方法は例え
ば、被処理試料を保持する手段を内蔵したプラズマ処理
容器と、前記容器を真空排気する排気手段と、前記容器
内にプラズマを発生させる電力投入手段と、同じく前記
容器内に少なくともエッチングガスとデポジションガス
とを交互に時間的に切替えて周期的に供給し得る少なく
とも2系統のガス導入手段とを備えたタイムモジュレー
テッドプラズマ処理装置であって、前記ガス導入手段に
はそれぞれのガス流量に見合って順次所定時間の周期で
交互に切替え得るガス切替制御器を接続すると共に前記
ガス切替制御器のガス切替え周期に連動させて前記プラ
ズマを発生させる電力投入手段を周期的にオン−オフ動
作させる電力投入制御器とを具備して成ることを特徴と
するプラズマエッチング処理装置によって実施すること
ができる。そしてこのプラズマエッチング処理装置は、
好ましくは、上記被処理試料もしくはその周囲に高周波
バイアス電力を周期的に投入する手段を設けると共に、
前記周期的高周波電力投入のオン−オフ制御を上記ガス
切替制御器におけるエッチングガス周期と運動させて上
記電力投入制御器で動作させる構成とすることである。
上記高周波電力投入時のオン−オフにおけるオフは、一
般に電力を完全に遮断することであるが、場合によって
は遮断の代りに高周波電源側に設けたインピーダンスマ
ッチング回路で電力の強弱を制御することでもよい。
ば、被処理試料を保持する手段を内蔵したプラズマ処理
容器と、前記容器を真空排気する排気手段と、前記容器
内にプラズマを発生させる電力投入手段と、同じく前記
容器内に少なくともエッチングガスとデポジションガス
とを交互に時間的に切替えて周期的に供給し得る少なく
とも2系統のガス導入手段とを備えたタイムモジュレー
テッドプラズマ処理装置であって、前記ガス導入手段に
はそれぞれのガス流量に見合って順次所定時間の周期で
交互に切替え得るガス切替制御器を接続すると共に前記
ガス切替制御器のガス切替え周期に連動させて前記プラ
ズマを発生させる電力投入手段を周期的にオン−オフ動
作させる電力投入制御器とを具備して成ることを特徴と
するプラズマエッチング処理装置によって実施すること
ができる。そしてこのプラズマエッチング処理装置は、
好ましくは、上記被処理試料もしくはその周囲に高周波
バイアス電力を周期的に投入する手段を設けると共に、
前記周期的高周波電力投入のオン−オフ制御を上記ガス
切替制御器におけるエッチングガス周期と運動させて上
記電力投入制御器で動作させる構成とすることである。
上記高周波電力投入時のオン−オフにおけるオフは、一
般に電力を完全に遮断することであるが、場合によって
は遮断の代りに高周波電源側に設けたインピーダンスマ
ッチング回路で電力の強弱を制御することでもよい。
また、上記プラズマを発生させる電力投入手段として
は、他の周知の手段でもよいが、は実用上、マイクロ波
電力投入手段とすることが好ましい。
は、他の周知の手段でもよいが、は実用上、マイクロ波
電力投入手段とすることが好ましい。
一般に、エッチングガス導入によるエッチングとデポ
ジションガス導入によるデポジションを周期的に組合せ
てプラズマエッチング処理を行うと、マスクパターンに
より形成された被加工部の狭い間隙と広い間隙とにおけ
るエッチング速度差は少なくなる。つまり、エッチング
速度及びデポジション速度共に狭い間隙より広い間隙の
方が高くなり、これら両者の速度の高い領域つまり広い
間隙において、両者の相互作用が大きく働き、エッチン
グ速度が狭い間隙のそれに近づき、全体としてのエッチ
ング速度差が小さくなる。しかし、これもマスクパター
ン間隙が0.3μm以下という微細パターンになると単に
上記両ガスを交互に切替えるTMエッチングのみでは限度
があり、マイクロローディング効果を低減して被加工面
全体のエッチング速度をむら無く均一化することが困難
であった。そこで、本発明においては、従来のようにプ
ラズマエッチング処理中に連続してプラズマ発生のため
の電力を投入しておくのでなく、エッチングガス周期に
入る前、つまりデポジションガス周期に入ってから一定
の停止時間経過後、実用上好ましくはその周期の末期に
近い時点で投入し、エッチングガス周期が終了する時点
で遮断するといったようにプラズマ発生の電力投入ガス
の切替え周期に連動させて周期的に行うようにしたもの
である。これにより、デポジションとエッチングとを両
作用を一層巧みに進行させることができ0.3μmという
微細パターンでの均一なエッチング加工を可能とした。
本発明では、上記のように、プラズマ発生の電力投入を
一定の停止期間をおいて周期的に行うものであるが、投
入時のタイミングとしては、エッチングガス周期からデ
ポジションガス周期に切替り、処理雰囲気中のガス組成
が、完全にデポジションガスで交換された時点が理想で
ある。しかし、この時点まで停止期間をおくと、全体の
プラズマエッチング処理時間が長くなるので、実用的に
は残留エッチングガス圧VEの処理雰囲気ガス中に占める
割合が5%以下、つまりデポジションガス圧をVDとした
とき、一般式 を満足させるに至る時間tを遮断停止期間tとすればよ
い。実際には、上述のごとくデポジションガス周期の末
期に近い時点に投入するよう電力投入制御器で時間管理
をさせればよい。また、電力の遮断タイミングは、上述
のごとくエッチングガス周期の終点に同期させればよい
が、多少前後にぜずれてもかまわない。ただし、ずれる
場合には後のデポジションガス周期の始め側にずれるよ
りも前に、つまりエッチングガス周期の末期側にずれた
方が良い。
ジションガス導入によるデポジションを周期的に組合せ
てプラズマエッチング処理を行うと、マスクパターンに
より形成された被加工部の狭い間隙と広い間隙とにおけ
るエッチング速度差は少なくなる。つまり、エッチング
速度及びデポジション速度共に狭い間隙より広い間隙の
方が高くなり、これら両者の速度の高い領域つまり広い
間隙において、両者の相互作用が大きく働き、エッチン
グ速度が狭い間隙のそれに近づき、全体としてのエッチ
ング速度差が小さくなる。しかし、これもマスクパター
ン間隙が0.3μm以下という微細パターンになると単に
上記両ガスを交互に切替えるTMエッチングのみでは限度
があり、マイクロローディング効果を低減して被加工面
全体のエッチング速度をむら無く均一化することが困難
であった。そこで、本発明においては、従来のようにプ
ラズマエッチング処理中に連続してプラズマ発生のため
の電力を投入しておくのでなく、エッチングガス周期に
入る前、つまりデポジションガス周期に入ってから一定
の停止時間経過後、実用上好ましくはその周期の末期に
近い時点で投入し、エッチングガス周期が終了する時点
で遮断するといったようにプラズマ発生の電力投入ガス
の切替え周期に連動させて周期的に行うようにしたもの
である。これにより、デポジションとエッチングとを両
作用を一層巧みに進行させることができ0.3μmという
微細パターンでの均一なエッチング加工を可能とした。
本発明では、上記のように、プラズマ発生の電力投入を
一定の停止期間をおいて周期的に行うものであるが、投
入時のタイミングとしては、エッチングガス周期からデ
ポジションガス周期に切替り、処理雰囲気中のガス組成
が、完全にデポジションガスで交換された時点が理想で
ある。しかし、この時点まで停止期間をおくと、全体の
プラズマエッチング処理時間が長くなるので、実用的に
は残留エッチングガス圧VEの処理雰囲気ガス中に占める
割合が5%以下、つまりデポジションガス圧をVDとした
とき、一般式 を満足させるに至る時間tを遮断停止期間tとすればよ
い。実際には、上述のごとくデポジションガス周期の末
期に近い時点に投入するよう電力投入制御器で時間管理
をさせればよい。また、電力の遮断タイミングは、上述
のごとくエッチングガス周期の終点に同期させればよい
が、多少前後にぜずれてもかまわない。ただし、ずれる
場合には後のデポジションガス周期の始め側にずれるよ
りも前に、つまりエッチングガス周期の末期側にずれた
方が良い。
また、本発明のTMエッチングにおいては、さらにエッ
チング周期中に被処理試料もしくはその周囲に高周波バ
イアス電力を所定時間間隔で、エッチングガス周期に同
期させて投入することが望ましい。この高周波バイアス
電力の投入は、全体的なエッチング速度の向上に有効で
ある。つまり、エッチング周期での初期にはデポジショ
ン膜が形成されていることになるので、このデポジショ
ン膜をイオンによって効率良く除去できる。しかし、エ
ッチング周期の期間中連続してイオンの働きを十分に行
わせると選択性が低下したり、マイクロローディング効
果を低減できないなど逆効果となるので、高周波バイア
ス電力の投入期間はエッチング周期よりは短くすべきで
ある。そして、この高周波バイアス電力の周期的な投入
時間の管理についても上記プラズマ発生の電力投入の管
理と同様に電力投入制御器で管理することができる。
チング周期中に被処理試料もしくはその周囲に高周波バ
イアス電力を所定時間間隔で、エッチングガス周期に同
期させて投入することが望ましい。この高周波バイアス
電力の投入は、全体的なエッチング速度の向上に有効で
ある。つまり、エッチング周期での初期にはデポジショ
ン膜が形成されていることになるので、このデポジショ
ン膜をイオンによって効率良く除去できる。しかし、エ
ッチング周期の期間中連続してイオンの働きを十分に行
わせると選択性が低下したり、マイクロローディング効
果を低減できないなど逆効果となるので、高周波バイア
ス電力の投入期間はエッチング周期よりは短くすべきで
ある。そして、この高周波バイアス電力の周期的な投入
時間の管理についても上記プラズマ発生の電力投入の管
理と同様に電力投入制御器で管理することができる。
実施例1 以下、本発明の一実施例を第1図のマイクロ(μ)波
プラズマ処理装置に基づいて説明する。すなわち、第1
図は本発明装置の要部構成を示した断面図であり、本装
置の主要構成要素のうち、マグネトロンから成るマイク
ロ波発生器1、導波管2、マイクロ波透過性真空容器
(放電管)3、磁場発生用電磁コイル4、試料台6、試
料7、固定電位付与電極8、高周波電力印加電源9、マ
イクロ波発生用電源10、放電用ガス導入管11、ガス排気
口12、発光モニター用プラズマ発光採光窓13、発光モニ
ター処理装置14は、従来から用いられていたものであ
る。ここに放電用ガス導入管11に、少なくともデポジシ
ョンガスとエッチングガスとの2系統以上のガスライ
ン、この例では15a、15b、15cの3系統が設けられてお
り、これらのガスラインをそれぞれ特定の時間だけON−
OFFさせるためのガス切替制御器16、および高周波電力
印加電源9、マイクロ波発生用電源10、ガス切替制御器
16をそれぞれ時間的に総合的に制御する一括制御器17を
設けた。なお、この一括制御器17は、ガス切替制御器16
による各ガスラインのON−OFF制御に連動してプラズマ
発生用マイクロ波電源10及び高周波電力印加電源9をそ
れぞれON−OFF制御する機能を有するものであることか
ら電力投入制御器と呼ぶことができる。本実施例の二つ
以上のガスライン15a、15b、15cは一つの防電用ガス導
入管11に接続しているが、それぞれを直接真空室内に並
列的に接続してもよいことはいうまでもない。さらに詳
細説明をすれば、ガスライン15a、15b、15cのうち一つ
のラインだけON状態にして、他の二のラインをOFF状態
にしている時にこれらOFF状態のラインは図面は省略し
たが別途バイパス排気ラインを設けてガス排気を行う
と、次にこれらのラインをON状態にする時の応答速度が
早く、望ましい短時間制御ができる。また、高周波電力
印加電源9と試料台6の間にはインピーダンスマッチン
グ回路を電源側に設けてあり、高周波印加電源9のON−
OFF制御は必ずしも、電源のON−OFFではなく、パワーの
強弱制御(例えば定在波比SWRのコントロール)であっ
ても良い。固定電位付与電極8は真空容器内の試料台6
の軸の外周に沿ってシールドするように取付けられ、そ
の上部が上記台6に沿って広がった導電材料よりなる構
造となっているが、この構造はバイアス印加値をできる
だけ高くしたい場合には、固定電位付与電極面積を大き
くしたり、設置場所の変更も可能である。以下、この装
置の動作機構の説明を含めエッチング処理方法の一実施
例を次の実施例2により説明する。
プラズマ処理装置に基づいて説明する。すなわち、第1
図は本発明装置の要部構成を示した断面図であり、本装
置の主要構成要素のうち、マグネトロンから成るマイク
ロ波発生器1、導波管2、マイクロ波透過性真空容器
(放電管)3、磁場発生用電磁コイル4、試料台6、試
料7、固定電位付与電極8、高周波電力印加電源9、マ
イクロ波発生用電源10、放電用ガス導入管11、ガス排気
口12、発光モニター用プラズマ発光採光窓13、発光モニ
ター処理装置14は、従来から用いられていたものであ
る。ここに放電用ガス導入管11に、少なくともデポジシ
ョンガスとエッチングガスとの2系統以上のガスライ
ン、この例では15a、15b、15cの3系統が設けられてお
り、これらのガスラインをそれぞれ特定の時間だけON−
OFFさせるためのガス切替制御器16、および高周波電力
印加電源9、マイクロ波発生用電源10、ガス切替制御器
16をそれぞれ時間的に総合的に制御する一括制御器17を
設けた。なお、この一括制御器17は、ガス切替制御器16
による各ガスラインのON−OFF制御に連動してプラズマ
発生用マイクロ波電源10及び高周波電力印加電源9をそ
れぞれON−OFF制御する機能を有するものであることか
ら電力投入制御器と呼ぶことができる。本実施例の二つ
以上のガスライン15a、15b、15cは一つの防電用ガス導
入管11に接続しているが、それぞれを直接真空室内に並
列的に接続してもよいことはいうまでもない。さらに詳
細説明をすれば、ガスライン15a、15b、15cのうち一つ
のラインだけON状態にして、他の二のラインをOFF状態
にしている時にこれらOFF状態のラインは図面は省略し
たが別途バイパス排気ラインを設けてガス排気を行う
と、次にこれらのラインをON状態にする時の応答速度が
早く、望ましい短時間制御ができる。また、高周波電力
印加電源9と試料台6の間にはインピーダンスマッチン
グ回路を電源側に設けてあり、高周波印加電源9のON−
OFF制御は必ずしも、電源のON−OFFではなく、パワーの
強弱制御(例えば定在波比SWRのコントロール)であっ
ても良い。固定電位付与電極8は真空容器内の試料台6
の軸の外周に沿ってシールドするように取付けられ、そ
の上部が上記台6に沿って広がった導電材料よりなる構
造となっているが、この構造はバイアス印加値をできる
だけ高くしたい場合には、固定電位付与電極面積を大き
くしたり、設置場所の変更も可能である。以下、この装
置の動作機構の説明を含めエッチング処理方法の一実施
例を次の実施例2により説明する。
実施例2 次に、エッチング処理の一実施例を第1図に従って説
明する。真空容器3はあらかじめガス排気口12から排気
ポンプ(図面省略)により真空排気されており、同容器
内にガスライン15a及び15bを通してエッチング及びデポ
ジション用のガスがそれぞれ交互にガス切替制御器16の
指令を受けて周期的に減圧状態で導入され、このガス切
替制御器16のON−OFFの時間スケジュールに同期して一
括制御17を動作させその指令に基づいてマイクロ波発生
用電源10を周期的にON−OFFさせることによりマイクロ
波発生器1で発生させたマイクロ波によって、励起さ
れ、周期的にプラズマが発生する。図には示さなかった
が、導波管2の導波回路にアイソレータやパワーメータ
を備えておけばマイクロ波の制御及び装置の安定性にと
って役立つ。電磁コイル4はプラズマ発生効率を向上さ
せるために外部から磁場をあたえるための手段であり、
磁場強度を875ガウスにすれば2.45GHzのマイクロ波との
相互作用によりエレクトロン サイクロトロン レゾナ
ンス(ECR)状態にすることも可能である。またガス圧
力が高いときには磁場がなくても放電するので、必ずし
も磁場コイルを必要とするものではない。このようにし
て発生したプラズマ中の反応性のある活性種が試料7と
反応し、エッチング及びデポジションが交互に周期的に
進行する。
明する。真空容器3はあらかじめガス排気口12から排気
ポンプ(図面省略)により真空排気されており、同容器
内にガスライン15a及び15bを通してエッチング及びデポ
ジション用のガスがそれぞれ交互にガス切替制御器16の
指令を受けて周期的に減圧状態で導入され、このガス切
替制御器16のON−OFFの時間スケジュールに同期して一
括制御17を動作させその指令に基づいてマイクロ波発生
用電源10を周期的にON−OFFさせることによりマイクロ
波発生器1で発生させたマイクロ波によって、励起さ
れ、周期的にプラズマが発生する。図には示さなかった
が、導波管2の導波回路にアイソレータやパワーメータ
を備えておけばマイクロ波の制御及び装置の安定性にと
って役立つ。電磁コイル4はプラズマ発生効率を向上さ
せるために外部から磁場をあたえるための手段であり、
磁場強度を875ガウスにすれば2.45GHzのマイクロ波との
相互作用によりエレクトロン サイクロトロン レゾナ
ンス(ECR)状態にすることも可能である。またガス圧
力が高いときには磁場がなくても放電するので、必ずし
も磁場コイルを必要とするものではない。このようにし
て発生したプラズマ中の反応性のある活性種が試料7と
反応し、エッチング及びデポジションが交互に周期的に
進行する。
本発明例では試料6の被処理表面材料を多結晶シリコ
ン(以下Poly Siと記す)として説明するが、シリコン
基板(以下Siと記す)であっても全く同じである。本試
料は第2図にその要部断面を示すシリコン基板20上シリ
コン酸化膜21、Poly Si22があり、Poly Si22上にパター
ニングされた狭い間隙WA=0.3μm、広い間隙WB=3μ
mのレジストマスク23が形成されている。エッチング用
ガスとしてSF6ガスの流量を45cc/min、ガス圧力10mTor
r、デポジション用ガスとしてCCl4ガスの流量35cc/mi
n、ガス圧力は同じく10mTorrとし、それぞれガスライン
15a,15bから真空容器3内に下記の時間スケジュールで
交互に周期的に送給した。プラズマ発生にはマイクロ波
のパワーを300W一定の条件にした。また、このときの磁
場強度は真空容器3の頂部で最高1000ガウス、これより
試料方向に直線的に源衰させ、最低875ガウスに設定し
た。
ン(以下Poly Siと記す)として説明するが、シリコン
基板(以下Siと記す)であっても全く同じである。本試
料は第2図にその要部断面を示すシリコン基板20上シリ
コン酸化膜21、Poly Si22があり、Poly Si22上にパター
ニングされた狭い間隙WA=0.3μm、広い間隙WB=3μ
mのレジストマスク23が形成されている。エッチング用
ガスとしてSF6ガスの流量を45cc/min、ガス圧力10mTor
r、デポジション用ガスとしてCCl4ガスの流量35cc/mi
n、ガス圧力は同じく10mTorrとし、それぞれガスライン
15a,15bから真空容器3内に下記の時間スケジュールで
交互に周期的に送給した。プラズマ発生にはマイクロ波
のパワーを300W一定の条件にした。また、このときの磁
場強度は真空容器3の頂部で最高1000ガウス、これより
試料方向に直線的に源衰させ、最低875ガウスに設定し
た。
なお、ここで周期的なガスの切替え、マイクロ波放電
及び高周波バイアス電力(RF)の印加については、それ
ぞれ第3図に示す時間スケジュールにより行った。すな
わち、エッチングガスとデポジションガスの切替えは、
前者を3sec、後者を10secの周期で交互に切替えた。マ
イクロ波放電の周期は、エッチングガス周期の1sec前
(デポジションガス周期末期の1sec)から、エッチング
ガス周期(3sec)の末期までの4secと、その後9secの放
電停止期間tから成る。この放電周期のうち、デポジシ
ョンガス周期末期の1secは、デポジションガスの行われ
る時間域であり、その後エッチングガス周期の3secはエ
ッチングの行われる時間域となる。このようにして、第
3図の横軸に示した時間軸からわかるように1周期13se
cの繰返しで、プラズマエッチングを進行させた。ま
た、エッチング周期時間内の最初から1.2〜1.8sec間だ
けに高周波電源9の電力を30WとしてONするようにTMエ
ッチング用一括制御器17を設定し、上記Poly Si試料を
エッチングすると、第4図に示すような、垂直でかつ選
択性が良好で、なおかつ広い間隙と狭い間隙とのエッチ
ング深さ、それぞれ24、25がほぼ同等な深さになる結果
が得られた。第4図ではPoly Siのエッチング途中で止
めた図を示したが、シラコン酸化膜21表面まですなわち
終点までエッチングしても同じである。このようにマイ
クロローディング効果が無視できるエッチングが可能と
なった。本実施例における広い間隙と狭い間隙とのエッ
チング深さの差は3%以内であった。ここで、エッチン
グ周期時間内の最初の1.2secだけ印加する高周波電力を
1.0secとすると、エッチ速度が著しく低下した。同じく
上記時間を2secとするとエッチ速度は大きいが、下地の
SiO2のエッチ速度も大きくなり、更に3sec(エッチング
周期全体)とするとますますその選択性が低下した。し
たがって、エッチ速度を低下させず垂直で選択性が高
く、かつマイクロローディングのないエッチングを行う
には上記高周波電力の印加時間が重要となることは明白
である。最適な高周波電力の印加時間がガス流量、ガス
圧力、プラズマを発生させているマイクロ波の電力に依
存することはいうまでもない。いずれにしても、エッチ
ング周期中に高周波バイアス電力を印加する場合には、
エッチング周期全体に印加するのは好ましくなく、それ
より短い時間内とすべきである。
及び高周波バイアス電力(RF)の印加については、それ
ぞれ第3図に示す時間スケジュールにより行った。すな
わち、エッチングガスとデポジションガスの切替えは、
前者を3sec、後者を10secの周期で交互に切替えた。マ
イクロ波放電の周期は、エッチングガス周期の1sec前
(デポジションガス周期末期の1sec)から、エッチング
ガス周期(3sec)の末期までの4secと、その後9secの放
電停止期間tから成る。この放電周期のうち、デポジシ
ョンガス周期末期の1secは、デポジションガスの行われ
る時間域であり、その後エッチングガス周期の3secはエ
ッチングの行われる時間域となる。このようにして、第
3図の横軸に示した時間軸からわかるように1周期13se
cの繰返しで、プラズマエッチングを進行させた。ま
た、エッチング周期時間内の最初から1.2〜1.8sec間だ
けに高周波電源9の電力を30WとしてONするようにTMエ
ッチング用一括制御器17を設定し、上記Poly Si試料を
エッチングすると、第4図に示すような、垂直でかつ選
択性が良好で、なおかつ広い間隙と狭い間隙とのエッチ
ング深さ、それぞれ24、25がほぼ同等な深さになる結果
が得られた。第4図ではPoly Siのエッチング途中で止
めた図を示したが、シラコン酸化膜21表面まですなわち
終点までエッチングしても同じである。このようにマイ
クロローディング効果が無視できるエッチングが可能と
なった。本実施例における広い間隙と狭い間隙とのエッ
チング深さの差は3%以内であった。ここで、エッチン
グ周期時間内の最初の1.2secだけ印加する高周波電力を
1.0secとすると、エッチ速度が著しく低下した。同じく
上記時間を2secとするとエッチ速度は大きいが、下地の
SiO2のエッチ速度も大きくなり、更に3sec(エッチング
周期全体)とするとますますその選択性が低下した。し
たがって、エッチ速度を低下させず垂直で選択性が高
く、かつマイクロローディングのないエッチングを行う
には上記高周波電力の印加時間が重要となることは明白
である。最適な高周波電力の印加時間がガス流量、ガス
圧力、プラズマを発生させているマイクロ波の電力に依
存することはいうまでもない。いずれにしても、エッチ
ング周期中に高周波バイアス電力を印加する場合には、
エッチング周期全体に印加するのは好ましくなく、それ
より短い時間内とすべきである。
上記のごとく、高周波バイアス電力を適切に印加する
ことは、エッチングスピードを速め時間短縮する上で極
めて有効であるが、本発明では必ずしも印加する必要は
なく、使用目的に応じて選択すればよい。つまり、印加
する場合にはそれなりの条件下で行い、スループット
(エッチングスピード)の問題にしなければ印加する必
要もなく、この場合にはエッチング時間は長くなるが、
選択性が低下しないという利点がある。
ことは、エッチングスピードを速め時間短縮する上で極
めて有効であるが、本発明では必ずしも印加する必要は
なく、使用目的に応じて選択すればよい。つまり、印加
する場合にはそれなりの条件下で行い、スループット
(エッチングスピード)の問題にしなければ印加する必
要もなく、この場合にはエッチング時間は長くなるが、
選択性が低下しないという利点がある。
上記3図についてさらに詳記すると、エッチング周期
の3secのうち始めの高周波バイアス(RF)印加時間内
は、デポジションにより析出した物質をエッチング除去
するために費やされ、残りの時間が試料のSiを実質的に
エッチングするために費やされる。
の3secのうち始めの高周波バイアス(RF)印加時間内
は、デポジションにより析出した物質をエッチング除去
するために費やされ、残りの時間が試料のSiを実質的に
エッチングするために費やされる。
次に、エッチング周期後の放電停止時間(ガス交換時
間に相当)について詳述する。上記第3図の9secを6sec
以下にすると、垂直なエッチングができなかった。すな
わち、このように放電停止時間を短くすると、エッチン
グガスからデポジションガスへのガス交換が不十分な状
態下でプラズマ処理が行われるためデポジションガスが
行われず、側壁保護膜効果が発揮されずアンダーカット
形状となった。当然なことに6sec以下の放電停止時間の
場合には、マイクロローディング効果が大きくなり、最
早や本発明の目的を達成することができなかった。この
理由は、ガスの交換が十分に行われておらず、デポジシ
ョンガス(CCl4)の中に5%を越える量の残量エッチン
グガス(SF6)が含まれている状態であるからである。
この5%という値は、予めCCl4とSF6の混合ガスでエッ
チングを行った時に、全ガス流量中にSF6が5%を越え
る量含まれているとエッチングが行われ、5%以下にな
るとエッチングされなくなる測定結果があるからであ
る。このSF6の混合比は、どのような排気系、ガス導入
系を有する装置においても、SF6ガス圧力/(SF6+CC
l4)ガス圧力の比が5/100以下となれば、デポジション
が行われるので、各ガス圧力、流量設定が変わってもそ
のガス圧比が5/100以下になる要する時間以上の時間を
放電停止時間として設定すればよい。最短時間の設定の
ためには、予備的な実験をしておくのが最も簡便である
が、発光スペクトル、質量分析計を用いて、F+,*/C
l+,*量の比を検出して、実際のガス圧力比が測定で
きる手段によって、自動的にデポジション周期に移行さ
せることもできる。
間に相当)について詳述する。上記第3図の9secを6sec
以下にすると、垂直なエッチングができなかった。すな
わち、このように放電停止時間を短くすると、エッチン
グガスからデポジションガスへのガス交換が不十分な状
態下でプラズマ処理が行われるためデポジションガスが
行われず、側壁保護膜効果が発揮されずアンダーカット
形状となった。当然なことに6sec以下の放電停止時間の
場合には、マイクロローディング効果が大きくなり、最
早や本発明の目的を達成することができなかった。この
理由は、ガスの交換が十分に行われておらず、デポジシ
ョンガス(CCl4)の中に5%を越える量の残量エッチン
グガス(SF6)が含まれている状態であるからである。
この5%という値は、予めCCl4とSF6の混合ガスでエッ
チングを行った時に、全ガス流量中にSF6が5%を越え
る量含まれているとエッチングが行われ、5%以下にな
るとエッチングされなくなる測定結果があるからであ
る。このSF6の混合比は、どのような排気系、ガス導入
系を有する装置においても、SF6ガス圧力/(SF6+CC
l4)ガス圧力の比が5/100以下となれば、デポジション
が行われるので、各ガス圧力、流量設定が変わってもそ
のガス圧比が5/100以下になる要する時間以上の時間を
放電停止時間として設定すればよい。最短時間の設定の
ためには、予備的な実験をしておくのが最も簡便である
が、発光スペクトル、質量分析計を用いて、F+,*/C
l+,*量の比を検出して、実際のガス圧力比が測定で
きる手段によって、自動的にデポジション周期に移行さ
せることもできる。
従来のTMエッチングでは、エッチング周期と高周波バ
イアス周期とを同期させており、本発明実施例のごとき
エッチング周期後の放電停止時間を設けていなかった。
そのために従来は、デポジション周期の時間を十分に長
くとり、デポジション周期内においては初期にエッチン
グが行われ、後期にデポジションが行われるようになっ
ていた。したがって、従来のTMエッチングではデポジシ
ョン量の再現性が悪く、エッチング周期おいてデポジシ
ョン膜の除去する時間が一定しておらず、マイクロロー
ディング効果を低減し、選択性を向上させるには欠点が
多かった。この欠点をなくすには、少なくともエッチン
グ周期後の放電停止時間を設けるのがよく、スループッ
トに問題が生じなければデポジション周期後にも放電停
止時間を設けるのがよい。この放電停止時間は、ガスの
安定の交換を行えるメリットだけでなく、試料温度の上
昇を防ぐ働きもする。すなわち、本実施例では4sec間の
放電時間に対して、9sec間の放電停止時間があり、放電
停止時間/放電時間の比が2.2となり、熱輻射の計算か
ら試料温度は約1/2に抑えることができる。温度変化の
小さいことはデポジションやエッチングの安定化に有効
となる。
イアス周期とを同期させており、本発明実施例のごとき
エッチング周期後の放電停止時間を設けていなかった。
そのために従来は、デポジション周期の時間を十分に長
くとり、デポジション周期内においては初期にエッチン
グが行われ、後期にデポジションが行われるようになっ
ていた。したがって、従来のTMエッチングではデポジシ
ョン量の再現性が悪く、エッチング周期おいてデポジシ
ョン膜の除去する時間が一定しておらず、マイクロロー
ディング効果を低減し、選択性を向上させるには欠点が
多かった。この欠点をなくすには、少なくともエッチン
グ周期後の放電停止時間を設けるのがよく、スループッ
トに問題が生じなければデポジション周期後にも放電停
止時間を設けるのがよい。この放電停止時間は、ガスの
安定の交換を行えるメリットだけでなく、試料温度の上
昇を防ぐ働きもする。すなわち、本実施例では4sec間の
放電時間に対して、9sec間の放電停止時間があり、放電
停止時間/放電時間の比が2.2となり、熱輻射の計算か
ら試料温度は約1/2に抑えることができる。温度変化の
小さいことはデポジションやエッチングの安定化に有効
となる。
以上の実施例から、エッチ速度を低下させず垂直で選
択性が高く、かつマイクロローディングのないエッチン
グを安定に行うには、上記高周波電力の印加時間および
エッチング周期後の放電停止時間の関係が重要となるこ
とは明白である。これらの最適な時間はガス流量、ガス
圧力、プラズマを発生させているマイクロ波の電力に依
存することはいうまでもない。例えば、CCl4のガス流量
45cc/minと増加させたり、ガス圧力を15mTorrと高くし
たり、マイクロ波電力を250Wと低くすると、同じ1secの
デポジション時間でもデポジション膜が増加するので、
RFバイアス印加時間は1.2sec〜1.8sec(エッチング時間
の40%〜60%)であったものが2.1sec〜2.7secの間がよ
くなった。この場合のSF6ガスの流量と圧力及びエッチ
ング時間は上記実施例と同じとした。またエッチング周
期後の放電停止時間が4sec以下になると、上記実施例と
同じく垂直なエッチングができなかったが、約2secの短
縮ができる。このようにデポジション効率をかなり上げ
ても、放電停止時間は最低4secは必要であり、RFバイア
スはエッチング時間の70%〜90%が最適な条件であっ
た。さらにデポジション効率を高くする条件にすると、
エッチングより膜形成度合いのほうが大きくなり、エッ
チング形状がおかしくなるだけでなく、スループットが
著しく低下するので、現実的なエッチング技術にならな
い。以上の実施例から、かなり広く条件を変えても、放
電停止時間を0にはできず、RFバイアスもエッチング周
期中に100%印加するのはよくないことがわかる。
択性が高く、かつマイクロローディングのないエッチン
グを安定に行うには、上記高周波電力の印加時間および
エッチング周期後の放電停止時間の関係が重要となるこ
とは明白である。これらの最適な時間はガス流量、ガス
圧力、プラズマを発生させているマイクロ波の電力に依
存することはいうまでもない。例えば、CCl4のガス流量
45cc/minと増加させたり、ガス圧力を15mTorrと高くし
たり、マイクロ波電力を250Wと低くすると、同じ1secの
デポジション時間でもデポジション膜が増加するので、
RFバイアス印加時間は1.2sec〜1.8sec(エッチング時間
の40%〜60%)であったものが2.1sec〜2.7secの間がよ
くなった。この場合のSF6ガスの流量と圧力及びエッチ
ング時間は上記実施例と同じとした。またエッチング周
期後の放電停止時間が4sec以下になると、上記実施例と
同じく垂直なエッチングができなかったが、約2secの短
縮ができる。このようにデポジション効率をかなり上げ
ても、放電停止時間は最低4secは必要であり、RFバイア
スはエッチング時間の70%〜90%が最適な条件であっ
た。さらにデポジション効率を高くする条件にすると、
エッチングより膜形成度合いのほうが大きくなり、エッ
チング形状がおかしくなるだけでなく、スループットが
著しく低下するので、現実的なエッチング技術にならな
い。以上の実施例から、かなり広く条件を変えても、放
電停止時間を0にはできず、RFバイアスもエッチング周
期中に100%印加するのはよくないことがわかる。
第5図は、本発明実施例と放電停止時間を設けない従
来のTMエッチングとの比較を示したパターン間隙寸法と
エッチング深さの比との関係特性線図である。横軸は、
パターンの狭いスペース(間隙)寸法を示し、縦軸は広
い間隙またはフィールド部でのエッチング深さを1とし
て較正したエッチング深さの比である。この図の縦軸の
比が1であることは、広い間隙と狭い間隙とのエッチン
グ深さがそれぞれ等しいことを意味しており、Si面のエ
ッチングスピードが間隙の幅に関係無く等しいことを意
味している。したがって、横軸の間隙寸法が狭くなって
も、限りなくエッチング深さの比が1に近い値を維持す
ることができれば、マイクロローディング効果のない優
れたエッチング特性を有していることになる。曲線B
は、従来の例であるが、0.5μmを越える狭い間隙にな
るとエッチング深さ比は低下しはじめ、0.2μmの間隙
では約半分の0.5になってしまう。一方、本発明のA
は、1周期の放電時間4secのうち、SF6によるエッチン
グ周期3sec、CCl4によるデポジション周期1sec、エッチ
ング周期直後からの放電停止時間9sec、エッチング周期
の最初の1.6secだけRF電力30Wの印加条件での結果であ
るが、0.25μmの間隙までエッチング深さの比はほぼ一
定の1を維持しており、極めて優れた特性を示してい
る。本発明の実施例において、最もマイクロローディン
グ効果の現われない条件下におけるTMエッチングの1サ
イクル分の制御フローを第6図に示した。前述のとお
り、エッチング及びデポジションに用いるガス種、それ
ぞれの導入周期、流量、圧力、RFパワー、μ波パワーな
どによって、RF電力の印加時間の適切値は変動するの
で、上記の1.6secが最適な一定の値でないことはいうま
でもない。
来のTMエッチングとの比較を示したパターン間隙寸法と
エッチング深さの比との関係特性線図である。横軸は、
パターンの狭いスペース(間隙)寸法を示し、縦軸は広
い間隙またはフィールド部でのエッチング深さを1とし
て較正したエッチング深さの比である。この図の縦軸の
比が1であることは、広い間隙と狭い間隙とのエッチン
グ深さがそれぞれ等しいことを意味しており、Si面のエ
ッチングスピードが間隙の幅に関係無く等しいことを意
味している。したがって、横軸の間隙寸法が狭くなって
も、限りなくエッチング深さの比が1に近い値を維持す
ることができれば、マイクロローディング効果のない優
れたエッチング特性を有していることになる。曲線B
は、従来の例であるが、0.5μmを越える狭い間隙にな
るとエッチング深さ比は低下しはじめ、0.2μmの間隙
では約半分の0.5になってしまう。一方、本発明のA
は、1周期の放電時間4secのうち、SF6によるエッチン
グ周期3sec、CCl4によるデポジション周期1sec、エッチ
ング周期直後からの放電停止時間9sec、エッチング周期
の最初の1.6secだけRF電力30Wの印加条件での結果であ
るが、0.25μmの間隙までエッチング深さの比はほぼ一
定の1を維持しており、極めて優れた特性を示してい
る。本発明の実施例において、最もマイクロローディン
グ効果の現われない条件下におけるTMエッチングの1サ
イクル分の制御フローを第6図に示した。前述のとお
り、エッチング及びデポジションに用いるガス種、それ
ぞれの導入周期、流量、圧力、RFパワー、μ波パワーな
どによって、RF電力の印加時間の適切値は変動するの
で、上記の1.6secが最適な一定の値でないことはいうま
でもない。
実施例3 実施例1ではSF6とCCl4ガスを用いたが、デポジショ
ン用のガスとして、C2Cl3F3、C2ClF5などC、Cl、Fを
含むガスや、SiHCl3、SiH3ClなどのSi、H、Clを含むガ
ス、CHCl3、CH3ClなどのC、H、Clを含むガス、CBr
F3、C2Br2F4などのC、Br、Fを含むガスを用いても、
実施例1と同じ効果が得られた。ただし、実施例1での
CCl4と同じガス流量とガス圧力においては、本発明例の
ガスはデポジション性が弱く、デポジション周期の時間
を若干長くする必要があった。本発明例におけるガス系
ではClの数が小さいほど、上記時間を長くする必要があ
った。上記時間を長くしないためにはガス流量やガス圧
力を高くすればよく、処理時間に制限があるときには、
上記流量や圧力の設定を変更することができる。
ン用のガスとして、C2Cl3F3、C2ClF5などC、Cl、Fを
含むガスや、SiHCl3、SiH3ClなどのSi、H、Clを含むガ
ス、CHCl3、CH3ClなどのC、H、Clを含むガス、CBr
F3、C2Br2F4などのC、Br、Fを含むガスを用いても、
実施例1と同じ効果が得られた。ただし、実施例1での
CCl4と同じガス流量とガス圧力においては、本発明例の
ガスはデポジション性が弱く、デポジション周期の時間
を若干長くする必要があった。本発明例におけるガス系
ではClの数が小さいほど、上記時間を長くする必要があ
った。上記時間を長くしないためにはガス流量やガス圧
力を高くすればよく、処理時間に制限があるときには、
上記流量や圧力の設定を変更することができる。
実施例4 実施例1では被エッチング材料をSi、PolySiとした
が、通常のエッチングでアンダーカットの起こりやすい
材料に対して特に有効である。例えば、半導体素子材料
として用いられているAl、W、Mo、各種メタルシリサイ
ド材料においては、通常のドライエッチングで限られた
条件以外ではアンダーカットが起こりやすい。本実施例
ではAl、W、タングステンシリサイドについて、TMエッ
チングを行ったが、類似する材料に関しても同様な効果
が得られることはいうまでもない。W、タングステンシ
リサイドについては実施例1で用いたガス及びエッチン
グ条件で同様な効果が得られた。Alに関してはエッチン
グガスにCl2、デポジションガスにCCl4、SiCl4など実施
例2で用いたガスとの組合せが可能であった。
が、通常のエッチングでアンダーカットの起こりやすい
材料に対して特に有効である。例えば、半導体素子材料
として用いられているAl、W、Mo、各種メタルシリサイ
ド材料においては、通常のドライエッチングで限られた
条件以外ではアンダーカットが起こりやすい。本実施例
ではAl、W、タングステンシリサイドについて、TMエッ
チングを行ったが、類似する材料に関しても同様な効果
が得られることはいうまでもない。W、タングステンシ
リサイドについては実施例1で用いたガス及びエッチン
グ条件で同様な効果が得られた。Alに関してはエッチン
グガスにCl2、デポジションガスにCCl4、SiCl4など実施
例2で用いたガスとの組合せが可能であった。
実施例5 実施例1で用いた試料に印加する高周波電力として80
0kHz、30Wを用いたが、13.56MHz、150Wを用いても同様
な結果が得られた。印加する周波数によって電力値が異
なるのは、プラズマ中に存在するイオンを試料表面に衝
突させるための加速電圧がそれぞれ異なるためであると
考えられる。したがって、用いる周波数について適した
電力を設定する必要があることはいうまでもない。これ
らの電力が高いほどデポジション膜の除去速度が大き
く、エッチ速度も大きくなるが、高すぎると前述したマ
スク材料のエッチ速度が大きくなり問題となり、低すぎ
るとデポジション膜の除去速度が低下し処理時間が長く
なるので、本実施例で示した値を標準として設定すると
よい。
0kHz、30Wを用いたが、13.56MHz、150Wを用いても同様
な結果が得られた。印加する周波数によって電力値が異
なるのは、プラズマ中に存在するイオンを試料表面に衝
突させるための加速電圧がそれぞれ異なるためであると
考えられる。したがって、用いる周波数について適した
電力を設定する必要があることはいうまでもない。これ
らの電力が高いほどデポジション膜の除去速度が大き
く、エッチ速度も大きくなるが、高すぎると前述したマ
スク材料のエッチ速度が大きくなり問題となり、低すぎ
るとデポジション膜の除去速度が低下し処理時間が長く
なるので、本実施例で示した値を標準として設定すると
よい。
実施例6 上記までの実施例は試料の温度制御を特に行っていな
かった。従来試料のシリコンウェーハは試料台に乗せら
れるが、試料台を水冷していたとしても、熱接触が十分
でないためにプラズマ照射条件により温度が一定でなか
った。本実施例では試料台を水冷温度以下にすることを
可能とする冷却手段を設けた。例えば、液体窒素と加熱
ヒータとの組合せで温度制御する方式、冷凍機と加熱ヒ
ータとの組合せ方式を採用した。この場合、試料台以外
の真空容器内壁温度は常温でもよい。本実施例のような
被エッチング試料の温度を低くしてエッチングする方法
を低温エッチングと呼ぶ。
かった。従来試料のシリコンウェーハは試料台に乗せら
れるが、試料台を水冷していたとしても、熱接触が十分
でないためにプラズマ照射条件により温度が一定でなか
った。本実施例では試料台を水冷温度以下にすることを
可能とする冷却手段を設けた。例えば、液体窒素と加熱
ヒータとの組合せで温度制御する方式、冷凍機と加熱ヒ
ータとの組合せ方式を採用した。この場合、試料台以外
の真空容器内壁温度は常温でもよい。本実施例のような
被エッチング試料の温度を低くしてエッチングする方法
を低温エッチングと呼ぶ。
低温エッチングでは各種ガスの吸着効率が高くなるの
で、特にデポジションガスの流量や圧力を低くしても良
いことがわかった。実際に試料温度を−30℃にしたと
き、実施例2で用いたデポジションガスC2Cl3F3の流量
と圧力の両者とも約1/2にしても同様な効果が得られる
ことがわかった。逆にC2Cl3F3では、試料温度が40℃以
上になるとガス流量や圧力を高くしてもデポジション膜
形成効率が低く、TMエッチングの目的を達成できないこ
とがある。試料温度のみを低温にすることによりデポジ
ションガスを減らせると、真空容器内壁の汚れを低減で
きるので、半導体製造装置として非常に有利となる。デ
ポジションガスの種類によって試料温度が効果的になる
値はそれぞれ異なることはいうまでもない。
で、特にデポジションガスの流量や圧力を低くしても良
いことがわかった。実際に試料温度を−30℃にしたと
き、実施例2で用いたデポジションガスC2Cl3F3の流量
と圧力の両者とも約1/2にしても同様な効果が得られる
ことがわかった。逆にC2Cl3F3では、試料温度が40℃以
上になるとガス流量や圧力を高くしてもデポジション膜
形成効率が低く、TMエッチングの目的を達成できないこ
とがある。試料温度のみを低温にすることによりデポジ
ションガスを減らせると、真空容器内壁の汚れを低減で
きるので、半導体製造装置として非常に有利となる。デ
ポジションガスの種類によって試料温度が効果的になる
値はそれぞれ異なることはいうまでもない。
以上の実施例で記した方法はかなり広い条件範囲で垂
直エッチングと選択性の向上を実現できるが、マイクロ
ローディング効果を低減させるには第5図で示したよう
に、エッチング周期とデポジション周期の時間比及び高
周波電力とその印加時間が限られる。
直エッチングと選択性の向上を実現できるが、マイクロ
ローディング効果を低減させるには第5図で示したよう
に、エッチング周期とデポジション周期の時間比及び高
周波電力とその印加時間が限られる。
実施例7 MOSトランジスタの製造のプロセスにおける実際のゲ
ート電極材料などのエッチングでは、エッチング終点に
達した後、オーバエッチングが行われる。上記した実施
例のほとんどはエッチング終点までのことを記したもの
であるが、オーバエッチングでは必ずしも同じTMエッチ
ングである必要はない。また実際のゲート電極材料にお
いてしばしば用いられる多層膜電極材料に関しても、上
層と下層とで同じTMエッチングでなければならないこと
はない。すなわち、目的に応じてTMエッチング条件を変
えたり、TMエッチングを行わず、通常の連続放電による
エッチングを組合せることができる。
ート電極材料などのエッチングでは、エッチング終点に
達した後、オーバエッチングが行われる。上記した実施
例のほとんどはエッチング終点までのことを記したもの
であるが、オーバエッチングでは必ずしも同じTMエッチ
ングである必要はない。また実際のゲート電極材料にお
いてしばしば用いられる多層膜電極材料に関しても、上
層と下層とで同じTMエッチングでなければならないこと
はない。すなわち、目的に応じてTMエッチング条件を変
えたり、TMエッチングを行わず、通常の連続放電による
エッチングを組合せることができる。
本実施例ではゲート電極材料をエッチングしたあとの
オーバエッチングに関する一実施例について説明する。
ゲート電極材料層の下には数10から数100Åと薄いSiO2
膜があり、さらに表面全体に段差がある。したがって、
この段差部に残存するゲート電極材料を残さずエッチン
グ除去するには、100%以上のオーバエッチングをしな
ければならないことが多い。かなりの長時間のオーバエ
ッチングに対してSiO2膜を消去させず、すでに加工した
ゲート電極がサイドエッチングしないようにしなければ
ならない。このためには選択性のよいエッチング条件と
し、デポジションをできるだけ少なくするのがよい。例
えば、オーバエッチングはTMエッチングとせず、SF6だ
けのエッチング条件でRFバイアスを0にするのがよい。
しかし、この場合には、約200%以上のオーバエッチン
グでサイドエッチングが起こりはじめた。200%以上の
オーバエッチングを必要とるときには、TMエッチング条
件をエッチング中に変える方法で対処できる。例えばエ
ッチング時間を5secとして、他の条件は実施例1と同じ
にしたとき、約300%までサイドエッチングもなく、SiO
2膜を消去させずに、段階部のゲート電極材料をエッチ
ングすることができた。本実施例のように各ステップご
とにTMエッチング条件を設定し、実際の素子構造に適し
たエッチングプロセスを構築することができる。前記し
た多層膜のエッチングに対しても、あらかじめ各層ごと
に適したTMエッチング条件を検討しておけば、各層を1
ステップとしてプログラム化して、最適なエッチングが
行える。
オーバエッチングに関する一実施例について説明する。
ゲート電極材料層の下には数10から数100Åと薄いSiO2
膜があり、さらに表面全体に段差がある。したがって、
この段差部に残存するゲート電極材料を残さずエッチン
グ除去するには、100%以上のオーバエッチングをしな
ければならないことが多い。かなりの長時間のオーバエ
ッチングに対してSiO2膜を消去させず、すでに加工した
ゲート電極がサイドエッチングしないようにしなければ
ならない。このためには選択性のよいエッチング条件と
し、デポジションをできるだけ少なくするのがよい。例
えば、オーバエッチングはTMエッチングとせず、SF6だ
けのエッチング条件でRFバイアスを0にするのがよい。
しかし、この場合には、約200%以上のオーバエッチン
グでサイドエッチングが起こりはじめた。200%以上の
オーバエッチングを必要とるときには、TMエッチング条
件をエッチング中に変える方法で対処できる。例えばエ
ッチング時間を5secとして、他の条件は実施例1と同じ
にしたとき、約300%までサイドエッチングもなく、SiO
2膜を消去させずに、段階部のゲート電極材料をエッチ
ングすることができた。本実施例のように各ステップご
とにTMエッチング条件を設定し、実際の素子構造に適し
たエッチングプロセスを構築することができる。前記し
た多層膜のエッチングに対しても、あらかじめ各層ごと
に適したTMエッチング条件を検討しておけば、各層を1
ステップとしてプログラム化して、最適なエッチングが
行える。
本発明によれば、従来不可能に近かった間隙0.3μm
以下におけるマイクロローディング効果を回避できるの
で、同一エッチング面にいろいろな寸法が混在する半導
体集積回路素子の微細加工に非常に有効となる。特に、
下地SiO2膜厚が薄い場合とか、エッチング終点のないSi
基板のエッチングに有効である。
以下におけるマイクロローディング効果を回避できるの
で、同一エッチング面にいろいろな寸法が混在する半導
体集積回路素子の微細加工に非常に有効となる。特に、
下地SiO2膜厚が薄い場合とか、エッチング終点のないSi
基板のエッチングに有効である。
第1図は本発明のプラズマエッチング処理方法を実施す
るマイクロ波プラズマエッチング装置の要部断面図、第
2図は被エッチング試料の断面図、第3図はTMエッチン
グの一実施例となるタイムスケジュールを示した図、第
4図は本発明のTMエッチングによる第2図の試料をエッ
チングした被エッチング試料の断面図、第5図は本発明
の一実施例と従来法とのマイクロローディング効果の比
較を示す図、第6図は本発明の代表的なTMエッチングの
1サイクルにおける制御の一実施例を示したタイムスケ
ジュールである。 符号の説明 1……マイクロ波発生器、2……導波管 3……マイクロ波透過性真空容器 4……磁場発生用電磁コイル 6……試料台、7……試料 8……固定電位付与電極、9……高周波印加電源 10……マイクロ波発生用電源 11……放電用ガス導入管、12……ガス排気口 13……発光モニター用プラズマ発光採光窓 14……発光モニター処理装置 15a、15b、15c……ガスライン 16……ガス切替制御器、17……一括制御器 20……シリコン基板、21……シリコン酸化膜 22……多結晶シリコン、23……レジストマスク 24……広い間隙でのエッチング深さ 25……狭い間隙でのエッチング深さ
るマイクロ波プラズマエッチング装置の要部断面図、第
2図は被エッチング試料の断面図、第3図はTMエッチン
グの一実施例となるタイムスケジュールを示した図、第
4図は本発明のTMエッチングによる第2図の試料をエッ
チングした被エッチング試料の断面図、第5図は本発明
の一実施例と従来法とのマイクロローディング効果の比
較を示す図、第6図は本発明の代表的なTMエッチングの
1サイクルにおける制御の一実施例を示したタイムスケ
ジュールである。 符号の説明 1……マイクロ波発生器、2……導波管 3……マイクロ波透過性真空容器 4……磁場発生用電磁コイル 6……試料台、7……試料 8……固定電位付与電極、9……高周波印加電源 10……マイクロ波発生用電源 11……放電用ガス導入管、12……ガス排気口 13……発光モニター用プラズマ発光採光窓 14……発光モニター処理装置 15a、15b、15c……ガスライン 16……ガス切替制御器、17……一括制御器 20……シリコン基板、21……シリコン酸化膜 22……多結晶シリコン、23……レジストマスク 24……広い間隙でのエッチング深さ 25……狭い間隙でのエッチング深さ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 辻本 和典 東京都国分寺市東恋ケ窪1丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 田地 新一 東京都国分寺市東恋ケ窪1丁目280番地 株式会社日立製作所中央研究所内 (56)参考文献 特開 昭60−126835(JP,A) 特開 昭62−102529(JP,A) (58)調査した分野(Int.Cl.6,DB名) H01L 21/3065
Claims (4)
- 【請求項1】デポジションガスとエッチングガスとを所
定時間間隔で交互に切替えて周期的にガス交換をしなが
らプラズマ処理するタイムモジュレーテッドプラズマエ
ッチング処理方法において、エッチングガス周期に入る
前にプラズマ発生のための電力を投入してプラズマ放電
を開始し、エッチングガス周期のほぼ終点で遮断し、引
続き所定の放電停止期間をおいてデポジションガス周期
中に再度電力を投入して放電を開始し、ガス切替えの時
間スケジュールに合せてプラズマ発生の電力を周期的に
投入することを特徴とするプラズマエッチング処理方
法。 - 【請求項2】請求項1記載のプラズマエッチング処理方
法において、エッチングガス周期の少なくとも初期の所
定時間、エッチングガス周期を越えない範囲内で、高周
波バイアス電力を被処理試料もしくは、その周囲にエッ
チングガス周期と連動させて周期的に投入することを特
徴とするプラズマエッチング処理方法。 - 【請求項3】上記デポジションガス周期中におけるプラ
ズマ発生のための電力の遮断停止期間をtとしたとき、
プラズマ処理の停止された雰囲気中の残留エッチングガ
ス圧力VEに対する全ガス圧力(VE+VD)の比が ただしVDはデポジションガス圧力 を少なくとも満足するに至る時間tとしたことを特徴と
する請求項1もしくは2記載のプラズマエッチング処理
方法。 - 【請求項4】デポジションガスとエッチングガスとを所
定時間間隔で交互に切替えて周期的にガス交換をしなが
らプラズマ処理するタイムモジュレーテッドプラズマエ
ッチング処理方法において、エッチングガス周期に入る
前にプラズマ発生のための電力を投入してプラズマ放電
を開始し、前記プラズマ放電をエッチングガス周期末期
に遮断し、引続き所定の放電停止期間をおいてデポジシ
ョンガス周期中に再度電力を投入して放電を開始し、ガ
ス切替えの時間スケジュールと一定の関係を保持してプ
ラズマ発生の電力を周期的に投入することを特徴とする
プラズマエッチング処理方法。
Priority Applications (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP63256953A JP2918892B2 (ja) | 1988-10-14 | 1988-10-14 | プラズマエッチング処理方法 |
US07/418,223 US4985114A (en) | 1988-10-14 | 1989-10-06 | Dry etching by alternately etching and depositing |
DE68927699T DE68927699T2 (de) | 1988-10-14 | 1989-10-13 | Trockenätzverfahren |
EP89119069A EP0363982B1 (en) | 1988-10-14 | 1989-10-13 | Dry etching method |
KR1019890014762A KR970000417B1 (ko) | 1988-10-14 | 1989-10-14 | 드라이 에칭방법 및 드라이 에칭장치 |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP63256953A JP2918892B2 (ja) | 1988-10-14 | 1988-10-14 | プラズマエッチング処理方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH02105413A JPH02105413A (ja) | 1990-04-18 |
JP2918892B2 true JP2918892B2 (ja) | 1999-07-12 |
Family
ID=17299657
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP63256953A Expired - Lifetime JP2918892B2 (ja) | 1988-10-14 | 1988-10-14 | プラズマエッチング処理方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US4985114A (ja) |
EP (1) | EP0363982B1 (ja) |
JP (1) | JP2918892B2 (ja) |
KR (1) | KR970000417B1 (ja) |
DE (1) | DE68927699T2 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101564473B1 (ko) * | 2007-11-21 | 2015-10-29 | 램 리써치 코포레이션 | 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법 |
Families Citing this family (440)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE69017744T2 (de) * | 1989-04-27 | 1995-09-14 | Fuji Electric Co Ltd | Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas. |
JP2623827B2 (ja) * | 1989-05-11 | 1997-06-25 | 日本電気株式会社 | マイクロ波プラズマ処理装置 |
US5273609A (en) * | 1990-09-12 | 1993-12-28 | Texas Instruments Incorporated | Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment |
JP3018517B2 (ja) * | 1991-01-25 | 2000-03-13 | ソニー株式会社 | ドライエッチング方法 |
US5318667A (en) * | 1991-04-04 | 1994-06-07 | Hitachi, Ltd. | Method and apparatus for dry etching |
US5474650A (en) * | 1991-04-04 | 1995-12-12 | Hitachi, Ltd. | Method and apparatus for dry etching |
US6008133A (en) | 1991-04-04 | 1999-12-28 | Hitachi, Ltd. | Method and apparatus for dry etching |
US5221414A (en) * | 1991-07-16 | 1993-06-22 | Micron Technology, Inc. | Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber |
US5368685A (en) * | 1992-03-24 | 1994-11-29 | Hitachi, Ltd. | Dry etching apparatus and method |
DE4241045C1 (de) * | 1992-12-05 | 1994-05-26 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
US5338702A (en) * | 1993-01-27 | 1994-08-16 | International Business Machines Corporation | Method for fabricating tungsten local interconnections in high density CMOS |
US6328845B1 (en) * | 1993-03-18 | 2001-12-11 | Hitachi, Ltd. | Plasma-processing method and an apparatus for carrying out the same |
JP3343629B2 (ja) * | 1993-11-30 | 2002-11-11 | アネルバ株式会社 | プラズマ処理装置 |
KR100322695B1 (ko) * | 1995-03-20 | 2002-05-13 | 윤종용 | 강유전성캐패시터의제조방법 |
US5575888A (en) * | 1995-04-14 | 1996-11-19 | The United States Of America As Represented By The Secretary Of The Navy | Sidewall passivation by oxidation during refractory-metal plasma etching |
JPH09129557A (ja) * | 1995-10-27 | 1997-05-16 | Shin Etsu Handotai Co Ltd | 薄膜の製造方法 |
GB9616225D0 (en) | 1996-08-01 | 1996-09-11 | Surface Tech Sys Ltd | Method of surface treatment of semiconductor substrates |
DE69725245T2 (de) * | 1996-08-01 | 2004-08-12 | Surface Technoloy Systems Plc | Verfahren zur Ätzung von Substraten |
US6187685B1 (en) * | 1997-08-01 | 2001-02-13 | Surface Technology Systems Limited | Method and apparatus for etching a substrate |
DE19736370C2 (de) * | 1997-08-21 | 2001-12-06 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silizium |
EP1009199B1 (en) * | 1997-08-22 | 2007-02-07 | Tokyo Electron Limited | Method for controlling plasma processor |
JP4153606B2 (ja) * | 1998-10-22 | 2008-09-24 | 東京エレクトロン株式会社 | プラズマエッチング方法およびプラズマエッチング装置 |
EP1131847B1 (en) * | 1998-11-04 | 2010-02-17 | Surface Technology Systems Plc | A method for etching a substrate |
KR100768610B1 (ko) * | 1998-12-11 | 2007-10-18 | 서페이스 테크놀로지 시스템스 피엘씨 | 플라즈마 처리장치 |
US6417013B1 (en) | 1999-01-29 | 2002-07-09 | Plasma-Therm, Inc. | Morphed processing of semiconductor devices |
US6589437B1 (en) * | 1999-03-05 | 2003-07-08 | Applied Materials, Inc. | Active species control with time-modulated plasma |
KR100738141B1 (ko) * | 1999-04-14 | 2007-07-10 | 서페이스 테크놀로지 시스템스 피엘씨 | 플라즈마 안정화 방법 및 장치 |
US6383938B2 (en) * | 1999-04-21 | 2002-05-07 | Alcatel | Method of anisotropic etching of substrates |
DE19919832A1 (de) * | 1999-04-30 | 2000-11-09 | Bosch Gmbh Robert | Verfahren zum anisotropen Plasmaätzen von Halbleitern |
DE19927806A1 (de) * | 1999-06-18 | 2001-01-04 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum Hochratenätzen eines Substrates mit einer Plasmaätzanlage und Vorrichtung und Verfahren zum Zünden eines Plasmas und Hochregeln oder Pulsen der Plasmaleistung |
FR2797997B1 (fr) * | 1999-08-26 | 2002-04-05 | Cit Alcatel | Procede et dispositif pour le traitement de substrat sous vide par plasma |
US6291357B1 (en) | 1999-10-06 | 2001-09-18 | Applied Materials, Inc. | Method and apparatus for etching a substrate with reduced microloading |
US20020185226A1 (en) * | 2000-08-10 | 2002-12-12 | Lea Leslie Michael | Plasma processing apparatus |
US6593244B1 (en) | 2000-09-11 | 2003-07-15 | Applied Materials Inc. | Process for etching conductors at high etch rates |
JP3565774B2 (ja) * | 2000-09-12 | 2004-09-15 | 株式会社日立製作所 | プラズマ処理装置及び処理方法 |
US6712983B2 (en) * | 2001-04-12 | 2004-03-30 | Memsic, Inc. | Method of etching a deep trench in a substrate and method of fabricating on-chip devices and micro-machined structures using the same |
US6818562B2 (en) | 2002-04-19 | 2004-11-16 | Applied Materials Inc | Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system |
US6759340B2 (en) * | 2002-05-09 | 2004-07-06 | Padmapani C. Nallan | Method of etching a trench in a silicon-on-insulator (SOI) structure |
US6905626B2 (en) * | 2002-07-24 | 2005-06-14 | Unaxis Usa Inc. | Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma |
US6924235B2 (en) * | 2002-08-16 | 2005-08-02 | Unaxis Usa Inc. | Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method |
US7977390B2 (en) * | 2002-10-11 | 2011-07-12 | Lam Research Corporation | Method for plasma etching performance enhancement |
US6833325B2 (en) * | 2002-10-11 | 2004-12-21 | Lam Research Corporation | Method for plasma etching performance enhancement |
US7169695B2 (en) * | 2002-10-11 | 2007-01-30 | Lam Research Corporation | Method for forming a dual damascene structure |
US6982175B2 (en) * | 2003-02-14 | 2006-01-03 | Unaxis Usa Inc. | End point detection in time division multiplexed etch processes |
US7294580B2 (en) * | 2003-04-09 | 2007-11-13 | Lam Research Corporation | Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition |
US6916746B1 (en) | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
JP2007501532A (ja) * | 2003-05-09 | 2007-01-25 | ウナクシス ユーエスエイ、インコーポレイテッド | 時分割多重プロセスにおける包絡線フォロア終点検出 |
US20060006139A1 (en) * | 2003-05-09 | 2006-01-12 | David Johnson | Selection of wavelengths for end point in a time division multiplexed process |
US7521000B2 (en) | 2003-08-28 | 2009-04-21 | Applied Materials, Inc. | Process for etching photomasks |
US20050112891A1 (en) * | 2003-10-21 | 2005-05-26 | David Johnson | Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation |
US7879510B2 (en) | 2005-01-08 | 2011-02-01 | Applied Materials, Inc. | Method for quartz photomask plasma etching |
US8293430B2 (en) | 2005-01-27 | 2012-10-23 | Applied Materials, Inc. | Method for etching a molybdenum layer suitable for photomask fabrication |
US7829243B2 (en) | 2005-01-27 | 2010-11-09 | Applied Materials, Inc. | Method for plasma etching a chromium layer suitable for photomask fabrication |
US7790334B2 (en) | 2005-01-27 | 2010-09-07 | Applied Materials, Inc. | Method for photomask plasma etching using a protected mask |
JP4512533B2 (ja) * | 2005-07-27 | 2010-07-28 | 住友精密工業株式会社 | エッチング方法及びエッチング装置 |
WO2007015050A1 (en) * | 2005-08-04 | 2007-02-08 | Aviza Technology Limited | A method of processing substrates |
US7910489B2 (en) * | 2006-02-17 | 2011-03-22 | Lam Research Corporation | Infinitely selective photoresist mask etch |
US8187483B2 (en) * | 2006-08-11 | 2012-05-29 | Jason Plumhoff | Method to minimize CD etch bias |
US7786019B2 (en) | 2006-12-18 | 2010-08-31 | Applied Materials, Inc. | Multi-step photomask etching with chlorine for uniformity control |
JP4906558B2 (ja) * | 2007-03-28 | 2012-03-28 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
JPWO2008155986A1 (ja) | 2007-06-20 | 2010-08-26 | コニカミノルタホールディングス株式会社 | 液体吐出ヘッド用ノズルプレートの製造方法、液体吐出ヘッド用ノズルプレート及び液体吐出ヘッド |
US20090043646A1 (en) * | 2007-08-06 | 2009-02-12 | International Business Machines Corporation | System and Method for the Automated Capture and Clustering of User Activities |
JP2009076711A (ja) * | 2007-09-21 | 2009-04-09 | Hitachi High-Technologies Corp | 半導体装置の製造方法 |
KR101555725B1 (ko) | 2007-11-29 | 2015-09-25 | 램 리써치 코포레이션 | 마이크로로딩을 제어하기 위한 펄스화된 바이어스 플라즈마 프로세스 |
US9059116B2 (en) | 2007-11-29 | 2015-06-16 | Lam Research Corporation | Etch with pulsed bias |
JP5008086B2 (ja) * | 2008-05-23 | 2012-08-22 | 独立行政法人産業技術総合研究所 | 調圧機能付高速ガス切替装置 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP2010245101A (ja) * | 2009-04-01 | 2010-10-28 | Hitachi High-Technologies Corp | ドライエッチング方法 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8384183B2 (en) * | 2010-02-19 | 2013-02-26 | Allegro Microsystems, Inc. | Integrated hall effect element having a germanium hall plate |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
US9070760B2 (en) * | 2011-03-14 | 2015-06-30 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
CN103159163B (zh) * | 2011-12-19 | 2016-06-08 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 基片刻蚀方法及基片处理设备 |
US10157729B2 (en) | 2012-02-22 | 2018-12-18 | Lam Research Corporation | Soft pulsing |
US10325759B2 (en) | 2012-02-22 | 2019-06-18 | Lam Research Corporation | Multiple control modes |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
CN102737983B (zh) * | 2012-07-05 | 2015-06-17 | 中微半导体设备(上海)有限公司 | 半导体结构的形成方法 |
CN102737984B (zh) * | 2012-07-06 | 2015-08-05 | 中微半导体设备(上海)有限公司 | 半导体结构的形成方法 |
JP5822795B2 (ja) * | 2012-07-17 | 2015-11-24 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9460894B2 (en) | 2013-06-28 | 2016-10-04 | Lam Research Corporation | Controlling ion energy within a plasma chamber |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
JP6207947B2 (ja) | 2013-09-24 | 2017-10-04 | 東京エレクトロン株式会社 | 被処理体をプラズマ処理する方法 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
JP6315809B2 (ja) * | 2014-08-28 | 2018-04-25 | 東京エレクトロン株式会社 | エッチング方法 |
JP6329857B2 (ja) * | 2014-09-01 | 2018-05-23 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
JP6334369B2 (ja) | 2014-11-11 | 2018-05-30 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
JP6378234B2 (ja) * | 2016-03-22 | 2018-08-22 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US20170352574A1 (en) * | 2016-06-02 | 2017-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for treating wafer |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
CN106997023A (zh) * | 2017-05-31 | 2017-08-01 | 云南电网有限责任公司昆明供电局 | 一种不同金属材料对sf6局部突发性放电故障分解的影响实验装置及其方法 |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
KR102633318B1 (ko) | 2017-11-27 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 청정 소형 구역을 포함한 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
CN110211870B (zh) * | 2019-06-18 | 2021-08-13 | 北京北方华创微电子装备有限公司 | 晶圆减薄方法 |
WO2020012907A1 (ja) | 2019-06-20 | 2020-01-16 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置及びプラズマ処理方法 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
TWI851767B (zh) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP2021097227A (ja) | 2019-12-17 | 2021-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
US11358858B2 (en) | 2020-01-24 | 2022-06-14 | Panasonic Intellectual Property Management Co., Ltd. | Semiconductor device and method of manufacturing thereof |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
JPWO2023238235A1 (ja) | 2022-06-07 | 2023-12-14 |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5693344A (en) * | 1979-12-26 | 1981-07-28 | Fujitsu Ltd | Manufacture of semiconductor device |
JPH0622212B2 (ja) * | 1983-05-31 | 1994-03-23 | 株式会社東芝 | ドライエッチング方法 |
JPS6050923A (ja) * | 1983-08-31 | 1985-03-22 | Hitachi Ltd | プラズマ表面処理方法 |
US4599135A (en) * | 1983-09-30 | 1986-07-08 | Hitachi, Ltd. | Thin film deposition |
JPH0626199B2 (ja) * | 1983-12-14 | 1994-04-06 | 株式会社日立製作所 | エッチング方法 |
JPH0824114B2 (ja) * | 1984-11-09 | 1996-03-06 | 株式会社日立製作所 | プラズマエッチング方法 |
JPS61187237A (ja) * | 1985-02-14 | 1986-08-20 | Toshiba Corp | パタ−ン形成方法 |
JPH0697660B2 (ja) * | 1985-03-23 | 1994-11-30 | 日本電信電話株式会社 | 薄膜形成方法 |
JPS61278146A (ja) * | 1985-06-03 | 1986-12-09 | Toshiba Corp | 光処理方法 |
KR900007687B1 (ko) * | 1986-10-17 | 1990-10-18 | 가부시기가이샤 히다찌세이사꾸쇼 | 플라즈마처리방법 및 장치 |
-
1988
- 1988-10-14 JP JP63256953A patent/JP2918892B2/ja not_active Expired - Lifetime
-
1989
- 1989-10-06 US US07/418,223 patent/US4985114A/en not_active Expired - Lifetime
- 1989-10-13 DE DE68927699T patent/DE68927699T2/de not_active Expired - Fee Related
- 1989-10-13 EP EP89119069A patent/EP0363982B1/en not_active Expired - Lifetime
- 1989-10-14 KR KR1019890014762A patent/KR970000417B1/ko not_active IP Right Cessation
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101564473B1 (ko) * | 2007-11-21 | 2015-10-29 | 램 리써치 코포레이션 | 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법 |
Also Published As
Publication number | Publication date |
---|---|
KR900007066A (ko) | 1990-05-09 |
EP0363982B1 (en) | 1997-01-22 |
EP0363982A2 (en) | 1990-04-18 |
JPH02105413A (ja) | 1990-04-18 |
KR970000417B1 (ko) | 1997-01-09 |
EP0363982A3 (en) | 1990-06-13 |
US4985114A (en) | 1991-01-15 |
DE68927699T2 (de) | 1997-09-11 |
DE68927699D1 (de) | 1997-03-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2918892B2 (ja) | プラズマエッチング処理方法 | |
US7138067B2 (en) | Methods and apparatus for tuning a set of plasma processing steps | |
US5593539A (en) | Plasma source for etching | |
TWI492297B (zh) | 電漿蝕刻方法、半導體裝置之製造方法、及電漿蝕刻裝置 | |
KR101742324B1 (ko) | 반도체 장치의 제조 방법 및 플라즈마 에칭 장치 | |
US8337713B2 (en) | Methods for RF pulsing of a narrow gap capacitively coupled reactor | |
JPH05247673A (ja) | 酸化物部分および窒化物部分を含む被処理体のエッチング方法 | |
JPS61136229A (ja) | ドライエツチング装置 | |
JP2001110784A (ja) | プラズマ処理装置および処理方法 | |
US7578945B2 (en) | Method and apparatus for tuning a set of plasma processing steps | |
JP2008515160A (ja) | 表面波プラズマソースと、プラズマ空間との間の結合を改良するための方法とシステム | |
JPH1187324A (ja) | プラズマ処理方法 | |
JPH11340213A (ja) | 試料の表面加工方法 | |
JP3042208B2 (ja) | マイクロ波プラズマ処理装置 | |
US7189653B2 (en) | Etching method and etching apparatus | |
JPS60120525A (ja) | 反応性イオンエツチング方法 | |
KR102419532B1 (ko) | 질화물 에칭을 위한 표면 보수 방법 | |
JP3164188B2 (ja) | プラズマ処理装置 | |
JPH04298035A (ja) | プラズマエッチング方法 | |
JP3516741B2 (ja) | プラズマ処理方法 | |
JPH03109728A (ja) | 半導体装置の製造方法 | |
JP3002033B2 (ja) | ドライエッチング方法 | |
JP3373466B2 (ja) | プラズマ処理装置及びプラズマ処理方法 | |
JPH0817796A (ja) | ドライエッチング装置とその方法および半導体装置 | |
JP2000012529A (ja) | 表面加工装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20080423 Year of fee payment: 9 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090423 Year of fee payment: 10 |
|
EXPY | Cancellation because of completion of term | ||
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090423 Year of fee payment: 10 |