Export Citations
Save this search
Please login to be able to save your searches and receive alerts for new content matching your search criteria.
- ArticleNovember 2002
The A to Z of SoCs
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 790–798https://doi.org/10.1145/774572.774689The exploding complexity of new chips and the ever decreasing time-to-market window are forcing fundamental changes in the way systems are designed. The advent of Systems-on-Chip (SoC) based on pre-designed intellectual-property (IP) cores has become an ...
- ArticleNovember 2002
ATPG-based logic synthesis: an overview
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 786–789https://doi.org/10.1145/774572.774688The ultimate goal of logic synthesis is to explore implementation flexibility toward meeting design targets, such as area, power, and delay. Traditionally, such flexibility is expressed using "don't cares" and we seek the best implementation that does ...
- ArticleNovember 2002
SAT and ATPG: Boolean engines for formal hardware verification
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 782–785https://doi.org/10.1145/774572.774687In this survey, we outline basic SAT- and ATPG- procedures as well as their applications in formal hardware verification. We attempt to give the reader a trace trough literature and provide a basic orientation concerning the problem formulations and ...
- ArticleNovember 2002
Optimization based passive constrained fitting
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 775–780https://doi.org/10.1145/774572.774686Verification of contemporary integrated circuits requires accurate modeling of high-frequency effects in all passive component sub-systems. Often, descriptions of those subsystems are only available in the frequency-domain. In this paper, we propose a ...
- ArticleNovember 2002
Robust and passive model order reduction for circuits containing susceptance elements
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 761–766https://doi.org/10.1145/774572.774684Numerous approaches have been proposed to address the overwhelming modeling problems that result from the emergence of magnetic coupling as a dominant performance factor for ICsand packaging. Firstly, model order reduction (MOR) methods have been ...
-
- ArticleNovember 2002
Incremental placement for layout driven optimizations on FPGAs
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 752–759https://doi.org/10.1145/774572.774683This paper presents an algorithm to update the placement of logic elements when given an incremental netlist change. Specifically, these algorithms are targeted to incrementally place logic elements created by layout-driven circuit restructuring ...
- ArticleNovember 2002
Free space management for cut-based placement
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 746–751https://doi.org/10.1145/774572.774682IP blocks and large macro cells are increasingly prevalent in physical design, actually causing an increase in the available free space for the dust logic. We observe that top-down placement based on recursive bisection with multilevel partitioning ...
- ArticleNovember 2002
Congestion minimization during placement without estimation
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 739–745https://doi.org/10.1145/774572.774681This paper presents a new congestion minimization technique for standard cell global placement. The most distinct feature of this approach is that it does not follow the traditional "estimate-then-eliminate" strategy. Instead, it avoids the excessive ...
- ArticleNovember 2002
Frame-based dynamic voltage and frequency scaling for a MPEG decoder
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 732–737https://doi.org/10.1145/774572.774680This paper describes a dynamic voltage and frequency scaling (DVFS) technique for MPEG decoding to reduce the energy consumption while maintaining a quality of servic(QoS) constraint. The computational workload for an incoming frame is predicted using a ...
- ArticleNovember 2002
Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 721–725https://doi.org/10.1145/774572.774678Dynamic voltage scaling (DVS) reduces the power consumption of processors when peak performance is unnecessary. However, the achievable power savings by DVS alone is becoming limited as leakage power increases. In this paper, we show how the ...
- ArticleNovember 2002
Leakage power modeling and reduction with data retention
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 714–719https://doi.org/10.1145/774572.774677In this paper, we study leakage power reduction using power gating in the forms of the Virtual power/ground Rails Clamp (VRC) and Multi-threshold CMOS (MTCMOS) techniques. We apply power gating to two circuit types: memory-based units and datapath ...
- ArticleNovember 2002
Battery-aware power management based on Markovian decision processes
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 707–713https://doi.org/10.1145/774572.774676This paper is concerned with the problem of maximizing capacity utilization of the battery power source in a portable electronic system under latency and loss rate constraints. First, a detailed stochastic model of a power-managed, battery-powered ...
- ArticleNovember 2002
Folding of logic functions and its application to look up table compaction
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 694–697https://doi.org/10.1145/774572.774674The paper describes the folding method of logic functions to reduce the size of memories for keeping the functions. The folding is based on the relation of fractions of logic functions. We show that the fractions of the full adder function have the bit-...
- ArticleNovember 2002
Resynthesis of multi-level circuits under tight constraints using symbolic optimization
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 687–693https://doi.org/10.1145/774572.774673We apply recently introduced constructive multi-level synthesis in the resynthesis loop targeting convergence of industrial designs. The incremental ability of the resynthesis approach allows more predictable circuit implementations while allowing their ...
- ArticleNovember 2002
Topologically constrained logic synthesis
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 679–686https://doi.org/10.1145/774572.774672SPFDs, a mechanism for expressing flexibility during logic synthesis, were first introduced for FPGA synthesis. They were then extended to general, combinational Boolean networks and later the concept of sequential SPFDs was introduced. In this paper, ...
- ArticleNovember 2002
A hierarchical modeling framework for on-chip communication architectures
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 663–671https://doi.org/10.1145/774572.774670The communication sub-system of complex IC systems is increasingly critical for achieving system performance. Given this, it is important that the on-chip communication architecture should be included in any quantitative evaluation of system design ...
- ArticleNovember 2002
Synthesis of customized loop caches for core-based embedded systems
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 655–662https://doi.org/10.1145/774572.774669Embedded system programs tend to spend much time in small loops. Introducing a very small loop cache into the instruction memory hierarchy has thus been shown to substantially reduce instruction fetch energy. However, loop caches come in many sizes and ...
- ArticleNovember 2002
General framework for removal of clock network pessimism
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 632–639https://doi.org/10.1145/774572.774666The paper presents a simple yet powerful general theoretical framework and efficient implementation for removal of clock network timing pessimism. We address pessimism in static timing analysis (STA) tools caused by considering delay variation along ...
- ArticleNovember 2002
WTA: waveform-based timing analysis for deep submicron circuits
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 625–631https://doi.org/10.1145/774572.774665Existing static timing analyzers make several assumptions about circuits, implicitly trading off accuracy for speed. In this paper we examine the validity of these assumptions, notably the slope approximation to waveforms, single-input transitions, and ...
- ArticleNovember 2002
A delay metric for RC circuits based on the Weibull distribution
ICCAD '02: Proceedings of the 2002 IEEE/ACM international conference on Computer-aided designPages 620–624https://doi.org/10.1145/774572.774664Physical design optimizations such as placement, interconnect synthesis, oorplanning, and routing require fast and accurate analysis of RC networks. Because of its simple close form and fast evaluation, the Elmore delay metric has been widely adopted. ...