skip to main content
research-article
Public Access

Impact of Electrostatic Coupling on Monolithic 3D-enabled Network on Chip

Published: 17 September 2019 Publication History

Abstract

Monolithic-3D-integration (M3D) improves the performance and energy efficiency of 3D ICs over conventional through-silicon-vias-based counterparts. The smaller dimensions of monolithic inter-tier vias offer high-density integration, the flexibility of partitioning logic blocks across multiple tiers, and significantly reduced total wire-length enable high-performance and energy-efficiency. However, the performance of M3D ICs degrades due to the presence of electrostatic coupling when the inter-layer-dielectric thickness between two adjacent tiers is less than 50nm. In this work, we evaluate the performance of an M3D-enabled Network-on-chip (NoC) architecture in the presence of electrostatic coupling. Electrostatic coupling induces significant delay and energy overheads for the multi-tier NoC routers. This in turn results in considerable performance degradation if the NoC design methodology does not incorporate the effects of electrostatic coupling. We demonstrate that electrostatic coupling degrades the energy-delay-product of an M3D NoC by 18.1% averaged over eight different applications from SPLASH-2 and PARSEC benchmark suites. As a countermeasure, we advocate the adoption of electrostatic coupling-aware M3D NoC design methodology. Experimental results show that the coupling-aware M3D NoC reduces performance penalty by lowering the number of multi-tier routers significantly.

References

[1]
K. Acharya, K. Chang, B. W. Ku, S. Panth, S. Sinha, B. Cline, G. Yeric, and S. K. Lim. 2016. Monolithic 3D IC design: Power, performance, and area impact at 7nm. In Proceedings of the 17th International Symposium on Quality Electronic Design (ISQED’16). 41--48.
[2]
F. Andrieu, R. Berthelon, R. Boumchedda, G. Tricaud, L. Brunet, P. Batude, B. Mathieu, E. Avelar, A. Ayres de Sousa, G. Cibrario, O. Rozeau, J. Lacord, O. Billoint, C. Fenouillet-Béranger, S. Guissi, D. Fried, P. Morin, J. P. Noel, B. Giraud, S. Thuries, F. Arnaud, and M. Vinet. 2017. Design technology co-optimization of 3D-monolithic standard cells and SRAM exploiting dynamic back-bias for ultra-low-voltage operation. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’17). 20.3.1--20.3.4.
[3]
I. Akturk and O. Ozturk. 2013. ILP-Based communication reduction for heterogeneous 3D network-on-chips. In Proceedings of the 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing. 514--518.
[4]
S. Bandyopadhyay, S. Saha, U. Maulik, and K. Deb. 2008. A simulated annealing-based multiobjective optimization algorithm: AMOSA. Trans. Evol. Comp 12, 3 (2008), 269--283.
[5]
P. Batude, M.-A. Jaud, O. Thomas, L. Clavelier, A. Pouydebasque, M. Vinet, S. Deleonibus, and A. Amara. 2008. 3D CMOS integration: Introduction of dynamic coupling and application to compact and robust 4T SRAM. In Proceedings of the IEEE International Conference on Integrated Circuit Design and Technology and Tutorial. 281--284.
[6]
P. Batude, T. Ernst, J. Arcamone, G. Arndt, P. Coudrain, and P. Gaillardon. 2012. 3-D sequential integration: A key enabling technology for heterogeneous co-integration of new function with CMOS. IEEE J. Emerg. Select. Top. Circ. Syst. 2, 4 714--722.
[7]
P. Batude, L. Brunet, C. Fenouillet-Beranger, F. Andrieu, J.-P. Colinge, D. Lattard, E. Vianello, S. Thuries, O. Billoint, P. Vivet, C. Santos, B. Mathieu, B. Sklenard, C.-M. V. Lu, J. Micout, F. Deprat, E. Avelar Mercado, F. Ponthenier, N. Rambal, M.-P. Samson, M. Cassé, S. Hentz, J. Arcamone, G. Sicard, L. Hutin, L. Pasini, A. Ayres, O. Rozeau, R. Berthelon, and F. Nemouchi. 2017. 3D Sequential integration: Application-driven technological achievements and guidelines. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’17). 3.1.1--3.1.4.
[8]
P. Batude, M. Vinet, C. Xu, B. Previtali, C. Tabone, C. Le Royer, L. Sanchez, L. Baud, L. Brunet, A. Toffoli, F. Allain, D. Lafond, F. Aussenac, O. Thomas, T. Poiroux, and O. Faynot. 2011. Demonstration of low temperature 3D sequential FDSOI integration down to 50nm gate length. In Proceedings of the Symposium on VLSI Technology—Digest of Technical Papers. 158--159.
[9]
C. Bienia. 2011. Benchmarking modern multiprocessors. Ph.D. Dissertation, Princeton University, Princeton, NJ.
[10]
N. Binkert, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, D. A. Wood, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, and T. Krishna. 2011. The gem5 simulator. ACM SIGARCH Comput. Archit. News 39, 1 (2011).
[11]
S. Bobba, A. Chakraborty, O. Thomas, P. Batude, and G. d. Micheli. 2013. Cell transformations and physical design techniques for 3D monolithic integrated circuits. J. Emerg. Technol. Comput. Syst. 9, 3 (2013).
[12]
S. Das, J. R. Doppa, P. P. Pande, and K. Chakrabarty. 2017. Monolithic 3D-enabled high performance and energy efficient network-on-chip. In Proceedings of the IEEE International Conference on Computer Design (ICCD’17). 233--240.
[13]
S. Das, D. Lee, D. H. Kim, and P. P. Pande. 2015. Small-world network enabled energy efficient and robust 3D NOC architectures. In Proceedings of the 25th Edition on Great Lakes Symposium on VLSI (GLSVLSI’15). ACM, New York, 133--138.
[14]
W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A. M. Sule, M. Steer, and P. D. Franzon. 2005. Demystifying 3D ICs: The pros and cons of going vertical. IEEE Des. Test 22, 6 (2005), 498--510.
[15]
T. Ernst, S. Cristoloveanu, G. Ghibaudo, T. Ouisse, S. Horiguchi, Y. Ono, Y. Takahashi, and K. Murase. 2003. Ultimately thin double-gate SOI MOSFETs. IEEE Trans. Electron. Devices 50, 3 (2003) 830--838.
[16]
N. Golshani, J. Derakhshandeh, R. Ishihara, C. I. M. Beenakker, M. Robertson, and T. Morrison. 2010. Monolithic 3D integration of SRAM and image sensor using two layers of single grain silicon. In Proceedings of the IEEE International 3D Systems Integration Conference (3DIC’10). 1--4.
[17]
C. Grecu, P. P. Pande, A. Ivanov, and R. Saleh. 2004. Structured interconnect architecture: A solution for the non-scalability of bus-based SoCs. In Proceedings of the 14th ACM Great Lakes Symposium on VLSI (GLSVLSI’04). ACM, New York, NY, 192--195.
[18]
T. Hiramoto, T. Saito, and T. Nagumo. 2003. Future electron devices and SOI technology—Semi-planar SOI MOSFETs with sufficient body effect. Jpn. J. Appl. Phys. 42, 1975--1978.
[19]
K. Jeong, A. B. Kahng, and K. Samadi. 2009. Impact of guardband reduction on design outcomes: A quantitative approach. IEEE Trans. Semicond. Manufact. 22, 4 (2009), 552--565.
[20]
S. Kirpatrick, C. D. Gelatt, and M. P. Vecchi. 1983. Optimization by simulated annealing. Science 220, 4598 (1983), 671--680.
[21]
R. G. Kim, W. Choi, Z. Chen, P. P. Pande, D. Marculescu, and R. Marculescu. 2016a. Wireless NoC and dynamic VFI codesign: Energy efficiency without performance penalty. IEEE Trans. Very Large Scale Integr. Syst. 24 (2016) 2488--2501.
[22]
K. M. Kim, S. Sinha, B. Cline, G. Yeric, and S. K. Lim. 2016b. Four-tier monolithic 3D ICs: Tier partitioning methodology and power benefit study. In Proceedings of the 2016 International Symposium on Low Power Electronics and Design (ISLPED’16). ACM, New York, NY, 70--75.
[23]
J. U. Knickerbocker, P. S. Andry, B. Dang, R. R. Horton, M. J. Interrante, C. S. Patel, R. J. Polastre, K. Sakuma, R. Sirdeshmukh, E. J. Sprogis, S. M. Sri-Jayantha, A. M. Stephens, A. W. Topol, C. K. Tsang, B. C. Webb, and S. L. Wright. 2008. Three-dimensional silicon integration. IBM J. Res. Dev. 52, 6 (Nov. 2008), 553--569.
[24]
M. Khayambashi, P. M. Yaghini, A. Eghbal, and N. Bagherzadeh. 2015. Analytical reliability analysis of 3D NoC under TSV failure. J. Emerg. Technol. Comput. Syst. 11, 4 43 (2015).
[25]
A. Koneru, S. Kannan, and K. Chakrabarty. 2017. Impact of electrostatic coupling and wafer-bonding defects on delay testing of monolithic 3D integrated circuits. J. Emerg. Technol. Comput. Syst. 13, 4 (2017) 54 (2017), 23 pages.
[26]
Y.-J. Lee, D. Limbrick, and S. K. Lim. 2013. Power benefit study for ultra-high density transistor-level monolithic 3D ICs. In Proceedings of the Design Automation Conference (DAC’13). ACM, New York, NY.
[27]
Y.-J. Lee, P. Morrow, and S. K. Lim. 2012. Ultra high density logic designs using transistor-level monolithic 3D integration. In Proceedings of the International Conference on Computer-Aided Design (ICCAD’12). 539--546.
[28]
M. Lin, A. E. Gamal, Y.-C. Lu, and S. Wong. 2006. Performance benefits of monolithically stacked 3D-FPGA. In Proceedings of the International Symposium on Field programmable gate arrays (FPGA’06). 113--122.
[29]
C. Liu, T. Song, J. Cho, J. Kim, J. Kim, and S. K. Lim. 2011. Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC. In Proceedings of the 48th Design Automation Conference (DAC’11). ACM, New York, NY, 783--788.
[30]
O. Lysne, T. Skeie, S. A. Reinemo, and I. Theiss. 2006. Layered routing in irregular networks. In IEEE Trans. Parallel Distrib. Syst. 17 (2006), 51--65.
[31]
E. J. Marinissen and Y. Zorian. 2009. Testing 3D chips containing through-silicon vias. In Proceedings of the International Test Conference. 1--11.
[32]
D. K. Nayak, S. Banna, S. K. Samal, and S. K. Lim. 2015. Power, performance, and cost comparisons of monolithic 3D ICs and TSV-based 3D ICs. In Proceedings of the IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference. 1--2.
[33]
S. Panth, K. Samadi, Y. Du, and S. K. Lim. 2014. Power-performance study of block-level monolithic 3D-ICs considering inter-tier performance variations. In Proceedings of the 51st ACM/EDAC/IEEE Design Automation Conference (DAC’14). New York, NY.
[34]
L.-S. Peh and W. J. Dally. 2001. A delay model for router microarchitectures. IEEE Micro 21, 1 (2001) 26--34.
[35]
J. M. Rabaey, A. Chandrakasan, and B. Nikoli´c. 2003. Digital Integrated Circuits—A Design Perspective. Prentice-Hall, Englewood Cliffs, NJ.
[36]
M. Sabry, M. Gao, G. Hills, C. Lee, G. Pitner, M. M. Shulaker, T. F. Wu, M. Asheghi, J. Bokor, F. Franchetti, K. E. Goodson, C. Kozyrakis, I. Markov, K. Olukotun, L. Pileggi, E Pop, J. Rabaey, C. Ré, H.-S. P. Wong, and S. Mitra. 2015. Energy-efficient abundant-data computing: The N3XT 1,000x. IEEE Comput. 48.12: 24--33.
[37]
S. K. Samal, D. Nayak, M. Ichihashi, S. Banna, and S. K. Lim. 2016. Monolithic 3D IC vs. TSV-based 3D IC in 14nm FinFET technology. In Proceedings of the IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S’16). 1--2.
[38]
C. Seiculescu, S. Murali, L. Benini, and G. De Micheli. 2009. SunFloor 3D: A tool for networks on chip topology synthesis for 3D systems on chips. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE’09). 9--14.
[39]
G. Van der Plas, P. Limaye, I. Loi, A. Mercha, Herman Oprins, C. Torregiani, S. Thijs, D. Linten, M. Stucchi, G. Katti, D. Velenis, V. Cherman, B. Vandevelde, V. Simons, I. De Wolf, R. Labie, D. Perry, S. Bronckers, N. Minas, M. Cupac, W. Ruythooren, J. V. Olmen, Al. Phommahaxay, M. Broeck, A. Opdebeeck, M. Rakowski, B. D. Wachter, M. Dehan, M. Nelis, R. Agarwal, A. Pullini, F. Angiolini, L. Benini, W. Dehaene, Y. Travaly, E. Beyne, and P. Marchal. 2011. Design issues and considerations for low-cost 3-D TSV IC technology. IEEE J. Solid-State Circ. 46 293--307.
[40]
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the 22nd Annual International Symposium on Computer Architecture (ISCA’95). ACM, New York, NY. 24--36.
[41]
Y. S. Yu, S. Panth, and S. K. Lim. 2016. Electrical coupling of monolithic 3-D inverters. IEEE Trans. Electron. Devices 63, 8 (2016), 3346--3349.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 24, Issue 6
November 2019
275 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/3357467
  • Editor:
  • Naehyuck Chang
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 17 September 2019
Accepted: 01 July 2019
Revised: 01 June 2019
Received: 01 November 2018
Published in TODAES Volume 24, Issue 6

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. EDP
  2. Monolithic 3D
  3. NoC
  4. electrostatic coupling
  5. energy
  6. energy efficiency
  7. latency
  8. optimization
  9. performance

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)136
  • Downloads (Last 6 weeks)13
Reflects downloads up to 15 Jan 2025

Other Metrics

Citations

Cited By

View all

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media