skip to main content
research-article

Efficient resource utilization for an extensible processor through dynamic instruction set adaptation

Published: 01 October 2008 Publication History

Abstract

State-of-the-art application-specific instruction set processors (ASIPs) allow the designer to define individual prefabrication customizations, thus improving the degree of specialization towards the actual application requirements, e.g., the computational hot spots. However, only a subset of hot spots can be targeted to keep the ASIP within a reasonable size. We propose a modular Special Instruction composition with multiple implementation possibilities per Special Instruction, compile-time embedded instructions to trigger a run-time adaptation of the instruction set, and a run-time system that dynamically selects an appropriate variation of the instruction set, i.e., a situation-dependent beneficial implementation for each Special Instruction. We thereby achieve a better efficiency of resource usage of up to 3.0× (average 1.4×) compared with current state-of-the-art ASIPs, resulting in a 3.1× (average 1.4×) improved application performance (compared with a general purpose processor up to 25.7× and average 17.6×).

References

[1]
J. Henkel and S. Parameswaran, Designing Embedded Processors--A Low Power Perspective. Berlin, Germany: Springer, 2007.
[2]
K. Keutzer, S. Malik, and A. R. Newton, "From ASIC to ASIP: the next design discontinuity," in Proc. Int. Conf. Comput. Des. (ICCD), 2002, pp. 84-90.
[3]
Tensilica Inc., Santa Clara, CA, "Xtensa LX2 processor," 2008 {On-line}. Available: www.tensilica.com
[4]
ARC International, Charlotte, NC, "ARC tangent processor," 2008 {On-line}. Available: www.ARCInternational.com
[5]
CoWare Inc., San Jose, CA, "LISATek," 2008 {Online}. Available: www.coware.com
[6]
Target, The ASIP Corp., Boulder, CO, "Target compiler," 2008 {On-line}. Available: http://www.retarget.com
[7]
A. Chattopadhyay, W. Ahmed, K. Karari, D. Kammler, R. Leupers, G. Ascheid, and H. Meyr, "Design space exploration of partially re-configurable embedded processors," in Proc. Conf. Des., Autom., Test (DATE), 2007, pp. 319-324.
[8]
H. P. Huynh, J. E. Sim, and T. Mitra, "An efficient framework for dynamic reconfiguration of instruction-set customization," in Proc. CASES, 2007, pp. 135-144.
[9]
N. Cheung, J. Henkel, and S. Parameswaran, "Rapid configuration & instruction selection for an asip: A case study," in Proc. Conf. Design, Autom., Test (DATE), 2003, pp. 802-807.
[10]
K. Atasu, L. Pozzi, and P. Ienne, "Automatic application-specific instruction-set extensions under microarchitectural constraints," in Proc. Des. Autom. Conf (DAC), 2003, pp. 256-261.
[11]
F. Sun, A. Raghunathan, S. Ravi, and N. K. Jha, "A scalable application specific processor synthesis methodology," in Proc. Int. Conf. Comput. Aided Des. (ICCAD), 2003, pp. 283-290.
[12]
P. Biswas, V. Choudhary, K. Atasu, L. Pozzi, P. Ienne, and N. Dutt, "Introduction of local memory elements in instruction set extensions," in Proc. Des. Autom. Conf (DAC), 2004, pp. 729-734.
[13]
K. Fan, M. Kudlur, H. Park, and S. Mahlke, "Increasing hardware efficiency with multifunction loop accelerators," in Proc. Int. Conf. HW/SW Codes Syst. Synth. (CODES + ISSS), 2006, pp. 276-281.
[14]
P. Brisk, A. Kaplan, and M. Sarrafzadeh, "Area-efficient instruction set synthesis for reconfigurable system-on-chip designs," in Proc. Des. Autom. Conf. (DAC), 2004, pp. 395-400.
[15]
ITU, Geneva, Switzerland, "ITU-T H.324 specification," 2008 {On-line}. Available: www.itu.int/rec/T-REC-H.324/en
[16]
ITU, Geneva, Switzerland, "ITU-T H.264 reference software version JM 7.5b," {Online}. Available: http://iphome.hhi.de/suehring/tml/ index.htm)
[17]
C. Lee, M. Potkonjak, and W. H. Mangione-Smith, "MediaBench: A tool for evaluating and synthesizing multimedia and communications systems," in Proc. MICRO, 1997, pp. 330-335.
[18]
H. Amano, "A survey on dynamically reconfigurable processors," IEICE Trans. Commun., vol. E89-B, no. 12, pp. 3179-3187, 2006.
[19]
S. Vassiliadis and D. Soudris, Fine- and Coarse-Grain Reconfigurable Computing. Berlin, Germany: Springer-Verlag, 2007.
[20]
C. Bobda, Introduction to Reconfigurable Computing. Berlin, Germany: Springer-Verlag, 2007.
[21]
F. Thoma, M. Kuhnle, P. Bonnot, E. M. Panainte, K. Bertels, S. Goller, A. Schneider, S. Guyetant, E. Schuler, K. D. Muller-Glaser, and J. Becker, "Morpheus: Heterogeneous Reconfigurable Computing," in Proc. FPL, 2007, pp. 409-414.
[22]
N. Clark, M. Kudlue, P. Hyunchul, S. Mahlke, and K. Flautne, "Application-specific processing on a general-purpose core via transparent instruction set customization," in Proc. Int. Symp. Microarch. (MICRO), 2004, pp. 30-40.
[23]
Stretch Inc., Sunnyvale, CA, "Stretch processor," 2008 {Online}. Available: www.stretchinc.com
[24]
F. Bouwens, M. Berekovic, A. Kanstein, and G. Gaydadjiev, "Architectural exploration of the ADRES coarse-grained reconfigurable array," in Proc. Appl. Reconfig. Comput. (ARC), 2007, pp. 1-13.
[25]
Z. A. Ye, A. Moshovos, S. Hauck, and P. Banerjee, "CHIMAERA: A high-performance architecture with a tightly-coupled reconfigurable functional unit," in Proc. ISCA, 2000, pp. 225-235.
[26]
A. Lodi, M. Toma, F. Campi, A. Cappelli, R. Guerrieri, and, "A VLIW processor with reconfigurable instruction set for embedded applications," IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1876-1886, Nov. 2003.
[27]
C. Mucci, M. Bocchi, M. Toma, and F. Campi, "A case-study on multimedia applications for the XiRisc reconfigurable processor," in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), 2006, pp. 4859-4862.
[28]
S. Vassiliadis, S. Wong, G. Gaydadjiev, K. Bertels, G. Kuzmanov, and E. M. Panainte, "The MOLEN polymorphic processor," IEEE Trans. Comput., vol. 53, no. 11, pp. 1363-1375, Nov. 2004.
[29]
J. E. Carrillo and P. Chow, "The effect of reconfigurable units in superscalar processors," in Proc. Int. Symp. FPGAs, 2001, pp. 141-150.
[30]
R. Lysecky, G. Stitt, and F. Vahid, "Warp processors," ACM Trans. Des Autom. Electron. Syst., vol. 11, pp. 659-681, 2006.
[31]
University of Michigan, Ann Arbor, MI, "MiBench," 2008 {Online}. Available: http://www.eecs.umich.edu/mibench/
[32]
Tensilica, Santa Clara, CA, "Xtensa LX2 I/O Bandw," 2008 {Online}. Available: tensilica.com/products/io_bandwidth.htm
[33]
Z. Li and S. Hauck, "Configuration prefetching techniques for partial reconfigurable coprocessor with relocation and defragmentation," in Proc. Int. Symp. FPGAs, 2002, pp. 187-195.
[34]
L. Bauer, M. Shafique, S. Kramer, and J. Henkel, "RISPP: Rotating instruction set processing platform," in Proc. DAC, 2007, pp. 791-796.
[35]
L. Bauer, M. Shafique, D. Teufel, and J. Henkel, "A self-adaptive extensible embedded processor," in Proc. SASO, 2007, pp. 344-347.
[36]
T. Von Sydow et al., "Quantitative analysis of embedded FPGA-architectures for arithmetic," in Proc. ASAP, 2006, pp. 125-131.
[37]
Xilinx Corp., San Jose, CA, "Partial reconfiguration," 2008 {Online}. Available: http://toolbox.xilinx.com/docsan/xilinx8/de/dev/partial. pdf)
[38]
M. Shafique, L. Bauer, and J. Henkel, "An optimized application architecture of the H.264 video encoder for application specific platforms," ESTIMedia, pp. 119-124, 2007.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems  Volume 16, Issue 10
October 2008
170 pages

Publisher

IEEE Educational Activities Department

United States

Publication History

Published: 01 October 2008
Revised: 23 March 2008
Received: 26 October 2007

Author Tags

  1. Application-specific instruction set processor (ASIP)
  2. RISPP
  3. application-specific instruction set processor (ASIP)
  4. extensible processor
  5. modular Special Instructions
  6. modular special instructions
  7. reconfigurable architecture
  8. rotating instruction set processing platform
  9. run-time adaptation

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 06 Jan 2025

Other Metrics

Citations

Cited By

View all

View Options

View options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media