skip to main content
10.1145/3656019.3676890acmconferencesArticle/Chapter ViewAbstractPublication PagespactConference Proceedingsconference-collections
research-article
Open access

MORSE: Memory Overwrite Time Guided Soft Writes to Improve ReRAM Energy and Endurance

Published: 13 October 2024 Publication History

Abstract

ReRAM is an attractive main memory technology due to its high density and low idle power. However, ReRAM exhibits costly writes, especially in terms of energy and endurance. Prior device studies show that retention can be traded off for write energy and endurance by employing soft write operations with lower currents. But given their reduced retention times, soft writes require refresh operations to prevent data loss. Unfortunately, a large number of refreshes are needed in between writes to infrequently updated data. Hence, a non-volatile memory system with soft writes still needs traditional hard writes, and a way to choose between them.
The efficacy of soft writes hinges on the time gap between consecutive writes to the same data, which we call the overwrite time. If the combined cost of a soft write and its refreshes within the overwrite time window is less than that of a hard write, the original soft write is profitable. Otherwise, it would have been better to perform a hard write to eliminate the refreshes.
To address this, we propose MORSE, a predictor that learns the overwrite times between back-to-back writes to main memory, and associates them with static store instructions in a prediction table. As dynamic stores execute, MORSE predicts the optimal write type based on the predicted overwrite time’s magnitude. This soft write decision is placed in the cache hierarchy, and eventually informs the writeback to main memory to use either a soft or hard write. Our results show MORSE provides 2.5x - 4.1x improvement in endurance and 2.9x - 4.2x reduction in write energy over a state-of-the-art predictor. Moreover, we demonstrate that MORSE is within 19.8% of the Oracle policy. Finally, we integrate MORSE with a prior wear leveling technique, called Ouroboros, and show that MORSE improves actual memory system lifetime by 6.2x over a baseline that only employs hard writes.

References

[1]
Neha Agarawal and Thomas F. Wenisch. 2017. Thermostat: Application-Transparent Page Management for Two-Tiered Main Memory. In Proceedings of the 22nd International Conference on Architectural Support for Programming Languages and Operating Systems.
[2]
Mohammad Arjomand, Mahmut T Kandemir, Anand Sivasubramaniam, and Chita R Das. 2016. Boosting access parallelism to PCM-based main memory. In 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA). IEEE, 695–706.
[3]
Mohammad Bakhshalipour, Aydin Faraji, Seyed Armin Vakil Ghahani, Farid Samandi, Pejman Lotfi-Kamran, and Hamid Sarbazi-Azad. 2019. Reducing writebacks through in-cache displacement. ACM Transactions on Design Automation of Electronic Systems (TODAES) 24, 2 (2019), 1–21.
[4]
Jie Chen, Ron C Chiang, H Howie Huang, and Guru Venkataramani. 2012. Energy-aware writes to non-volatile main memory. ACM SIGOPS Operating Systems Review 45, 3 (2012), 48–52.
[5]
Ying-Chen Chen. 2019. Selector-less resistive random access memory (RRAM) with intrinsic nonlinearity for crossbar array applications. Ph. D. Dissertation.
[6]
Zixuan Chen, Huaqiang Wu, Bin Gao, Dong Wu, Ning Deng, He Qian, Zhichao Lu, Brent Haukness, M Kellam, and Gary Bronner. 2017. Performance improvements by SL-current limiter and novel programming methods on 16MB RRAM chip. In 2017 IEEE International Memory Workshop (IMW). IEEE, 1–4.
[7]
Sangyeun Cho and Hyunjin Lee. 2009. Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. 347–357.
[8]
Sergiu Clima, YY Chen, Andrea Fantini, Ludovic Goux, Robin Degraeve, Bogdan Govoreanu, Geoffrey Pourtois, and Malgorzata Jurczak. 2015. Intrinsic tailing of resistive states distributions in amorphous HfO x and TaO x based resistive random access memories. IEEE Electron Device Letters 36, 8 (2015), 769–771.
[9]
Crossbar. 2020. Crossbar ReRAM Overview. (2020). https://www.crossbar-inc.com/technology/reram-overview/
[10]
Wei Dong, Xin Li, Yanbin Li, Meikang Qiu, Lei Dou, Lei Ju, and Zhiping Jia. 2015. Minimizing update bits of NVM-based main memory using bit flipping and cyclic shifting. In 2015 IEEE 17th International Conference on High Performance Computing and Communications, 2015 IEEE 7th International Symposium on Cyberspace Safety and Security, and 2015 IEEE 12th International Conference on Embedded Software and Systems. IEEE, 290–295.
[11]
Subramanya R Dulloor, Amitabha Roy, Zheguang Zhao, Narayanan Sundaram, Nadathur Satish, Rajesh Sankaran, Jeff Jackson, and Karsten Schwan. 2016. Data Tiering in Heterogeneous Memory Systems. In Proceedings of the 2016 EuroSys Conference.
[12]
Ali Eslami, Alfredo Velasco, Alireza Vahid, Georgios Mappouras, Robert Calderbank, and Daniel J. Sorin. 2015. Writing without Disturb on Phase Change Memories by Integrating Coding and Layout Design(MEMSYS ’15). Association for Computing Machinery, New York, NY, USA, 71–77. https://doi.org/10.1145/2818950.2818962
[13]
Dan Feng, Jie Xu, Yu Hua, Wei Tong, Jingning Liu, Chunyan Li, and Yiran Chen. 2019. A low-overhead encoding scheme to extend the lifetime of nonvolatile memories. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, 10 (2019), 2516–2529.
[14]
Alexandre P. Ferreira, Miao Zhou, Santiago Bock, Bruce Childers, Rami Melhem, and Daniel Mossé. 2010. Increasing PCM main memory lifetime. In 2010 Design, Automation Test in Europe Conference Exhibition (DATE 2010). 914–919. https://doi.org/10.1109/DATE.2010.5456923
[15]
Cyril Goutte and Eric Gaussier. 2005. A probabilistic interpretation of precision, recall and F-score, with implication for evaluation. In European conference on information retrieval. Springer, 345–359.
[16]
Alessandro Grossi, Elisa Vianello, Mohamed M Sabry, Marios Barlas, Laurent Grenouillet, Jean Coignus, Edith Beigne, Tony Wu, Binh Q Le, Mary K Wootters, 2019. Resistive RAM endurance: Array-level characterization and correction techniques targeting deep learning applications. IEEE Transactions on Electron Devices 66, 3 (2019), 1281–1288.
[17]
Tae Jun Ham, Bharath K Chelepalli, Neng Xue, and Benjamin C Lee. 2013. Disintegrated control for energy-efficient and heterogeneous memory systems. In 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA). IEEE, 424–435.
[18]
Andrew Hay, Karin Strauss, Timothy Sherwood, Gabriel H Loh, and Doug Burger. 2011. Preventing PCM banks from seizing too much power. In 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 186–195.
[19]
Yukio Hayakawa, Atsushi Himeno, Ryutaro Yasuhara, W Boullart, E Vecchio, T Vandeweyer, T Witters, D Crotti, M Jurczak, S Fujii, 2015. Highly reliable TaO x ReRAM with centralized filament for 28-nm embedded application. In 2015 Symposium on VLSI Technology (VLSI Technology). IEEE, T14–T15.
[20]
Taekyung Heo, Yang Wang, Wei Cui, Jaehyuk Huh, and Lintao Zhang. 2020. Adaptive page migration policy with huge pages in tiered memory systems. IEEE Trans. Comput. 71, 1 (2020), 53–68.
[21]
Takahiro Hirofuchi and Ryousei Takano. 2016. RAMinate: Hypervisor-based Virtualization for Hybrid Main Memory Systems. In Proceedings of the ACM Symposium on Cloud Computing. Santa Clara, CA.
[22]
Jianming Huang, Yu Hua, Pengfei Zuo, Wen Zhou, and Fangting Huang. 2020. An Efficient Wear-Level Architecture Using Self-Adaptive Wear Leveling. In 49th International Conference on Parallel Processing - ICPP (Edmonton, AB, Canada) (ICPP ’20). Association for Computing Machinery, New York, NY, USA, Article 11, 11 pages. https://doi.org/10.1145/3404397.3404405
[23]
D. Ielmini, Federico Nardi, Carlo Cagli, and Andrea L. Lacaita. 2010. Trade-off Between Data Retention and Reset in NIO RRAMs. In Proceedings of the IEEE International Reliability Physics Symposium.
[24]
Adam N Jacobvitz, Robert Calderbank, and Daniel J Sorin. 2013. Coset coding to extend the lifetime of memory. In 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA). IEEE, 222–233.
[25]
Amin Jadidi, Mohammad Arjomand, Mohammad Khavari Tavana, David R Kaeli, Mahmut T Kandemir, and Chita R Das. 2017. Exploring the potential for collaborative data compression and hard-error tolerance in pcm memories. In 2017 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN). IEEE, 85–96.
[26]
Meenatchi Jagasivamani. 2020. Resistive RAM Based Main-Memory Systems: Understanding the Opportunities, Limitations, and Tradeoffs. Ph. D. Dissertation. University of Maryland, College Park. https://drum.lib.umd.edu/handle/1903/26228
[27]
Mohammad Reza Jokar, Lunkai Zhang, and Frederic T Chong. 2018. Cooperative nv-numa: prolonging non-volatile memory lifetime through bandwidth sharing. In Proceedings of the International Symposium on Memory Systems. 67–78.
[28]
Dongwoo Kang, Seungjae Baek, Jongmoo Choi, Donghee Lee, Sam H Noh, and Onur Mutlu. 2015. Amnesic cache management for non-volatile memory. In 2015 31st Symposium on Mass Storage Systems and Technologies (MSST). IEEE, 1–13.
[29]
R.E. Kessler, E.J. McLellan, and D.A. Webb. 1998. The Alpha 21264 microprocessor architecture. In Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273). 90–95. https://doi.org/10.1109/ICCD.1998.727028
[30]
Sunwoong Kim, Hyunmin Jung, Woojae Shin, Hyokeun Lee, and Hyuk-Jae Lee. 2019. HAD-TWL: Hot Address Detection-Based Wear Leveling for Phase-Change Memory Systems with Low Latency. IEEE Computer Architecture Letters 18, 2 (2019), 107–110. https://doi.org/10.1109/LCA.2019.2929393
[31]
Jagadish B Kotra, Haibo Zhang, Alaa R Alameldeen, Chris Wilkerson, and Mahmut T Kandemir. 2018. Chameleon: A dynamically reconfigurable heterogeneous memory system. In 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 533–545.
[32]
Corey Lammie, Mostafa Rahimi Azghadi, and Daniele Ielmini. 2021. Empirical Metal-Oxide RRAM Device Endurance and Retention Model for Deep Learning Simulations. Semiconductor Science and Technology 36 (2021).
[33]
Albert Lee, Chieh-Pu Lo, Chien-Chen Lin, Wei-Hao Chen, Kuo-Hsiang Hsu, Zhibo Wang, Fang Su, Zhe Yuan, Qi Wei, Ya-Chin King, 2017. A ReRAM-based nonvolatile flip-flop with self-write-termination scheme for frequent-OFF fast-wake-up nonvolatile processors. IEEE Journal of Solid-State Circuits 52, 8 (2017), 2194–2207.
[34]
Benjamin C Lee, Engin Ipek, Onur Mutlu, and Doug Burger. 2009. Architecting phase change memory as a scalable dram alternative. In Proceedings of the 36th annual international symposium on Computer architecture. 2–13.
[35]
Benjamin C Lee, Ping Zhou, Jun Yang, Youtao Zhang, Bo Zhao, Engin Ipek, Onur Mutlu, and Doug Burger. 2010. Phase-change technology and the future of main memory. IEEE micro 30, 1 (2010), 143–143.
[36]
Qingan Li, Lei Jiang, Youtao Zhang, Yanxiang He, and Chun Jason Xue. 2013. Compiler directed write-mode selection for high performance low power volatile PCM. In Proceedings of the 14th ACM SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems. 101–110.
[37]
Sheng Li, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, and Norman P. Jouppi. 2009. McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures. In MICRO 42: Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. 469–480.
[38]
Sheng Li, Ke Chen, Jung Ho Ahn, Jay B. Brockman, and Norman P. Jouppi. [n. d.]. CACTI-P: Architecture-level modeling for SRAM-based structures with advanced leakage reduction techniques. In ICCAD: International Conference on Computer-Aided Design. 694–701.
[39]
Duo Liu, Tianzheng Wang, Yi Wang, Zili Shao, Qingfeng Zhuge, and Edwin Sha. 2013. Curling-PCM: Application-specific wear leveling for phase change memory based embedded systems. In 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC). 279–284. https://doi.org/10.1109/ASPDAC.2013.6509609
[40]
Qingyue Liu and Peter Varman. 2017. Ouroboros wear leveling for NVRAM using hierarchical block migration. ACM Transactions on Storage (TOS) 13, 4 (2017), 1–31.
[41]
Qingyue Liu and Peter Varman. 2017. Ouroboros Wear-Levling: A Two-Level Hierarchical Wear-Leveling Model for NVRAM. In Proceedings of the International Conference on Massive Storage Systems and Technology. Santa Clara, CA.
[42]
Ren-Shuo Liu, De-Yu Shen, Chia-Lin Yang, Shun-Chih Yu, and Cheng-Yuan Michael Wang. 2014. NVM Duet: Unified working memory and persistent store architecture. ACM SIGARCH Computer Architecture News 42, 1 (2014), 455–470.
[43]
Huizhang Luo, Liang Shi, Qiao Li, Chun Jason Xue, and Edwin H-M Sha. 2018. Energy, latency, and lifetime improvements in MLC NVM with enhanced WOM code. In 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, 554–559.
[44]
Kaisheng Ma, Xueqing Li, Karthik Swaminathan, Yang Zheng, Shuangchen Li, Yongpan Liu, Yuan Xie, John Jack Sampson, and Vijaykrishnan Narayanan. 2016. Nonvolatile processor architectures: Efficient, reliable progress with unstable power. IEEE Micro 36, 3 (2016), 72–83.
[45]
Pierre Michaud, Andre Seznec, and Richard Uhlig. 1997. Trading Conflict and Capacity Aliasing in Conditional Branch Predictors. In Proceedings of the 24th International Symposium on Computer Architecture.
[46]
C Nail, G Molas, P Blaise, G Piccolboni, B Sklenard, C Cagli, M Bernard, A Roule, M Azzaz, E Vianello, 2016. Understanding RRAM endurance, retention and window margin trade-off using experimental results and simulations. In 2016 IEEE International Electron Devices Meeting (IEDM). IEEE, 4–5.
[47]
Prashant J Nair, Dae-Hyun Kim, and Moinuddin K Qureshi. 2013. ArchShield: Architectural framework for assisting DRAM scaling by tolerating high error rates. ACM SIGARCH Computer Architecture News 41, 3 (2013), 72–83.
[48]
Kazuteru Namba and Fabrizio Lombardi. 2016. A coding scheme for write time improvement of phase change memory (PCM) systems. IEEE Transactions on Multi-Scale Computing Systems 2, 4 (2016), 291–296.
[49]
Federico Nardi, Daniele Ielmini, Carlo Cagli, S Spiga, M Fanciulli, Ludovic Goux, and DJ Wouters. 2011. Control of filament size and reduction of reset current below 10 μ A in NiO resistance switching memories. Solid-State Electronics 58, 1 (2011), 42–47.
[50]
Dimin Niu, Cong Xu, Naveen Muralimanohar, Norman P Jouppi, and Yuan Xie. 2013. Design of cross-point metal-oxide ReRAM emphasizing reliability and cost. In 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 17–23.
[51]
Poovaiah M. Palangappa and Kartik Mohanram. 2016. CompEx: Compression-expansion coding for energy, latency, and lifetime improvements in MLC/TLC NVM. In 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA). 90–101. https://doi.org/10.1109/HPCA.2016.7446056
[52]
Poovaiah M Palangappa and Kartik Mohanram. 2018. RAPID: read acceleration for improved performance and endurance in MLC/TLC NVMs. In 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 1–7.
[53]
Chen Pan, Mimi Xie, Jingtong Hu, Yiran Chen, and Chengmo Yang. 2014. 3M-PCM: Exploiting multiple write modes MLC phase change main memory in embedded systems. In Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis. 1–10.
[54]
Matthew Poremba, Tao Zhang, and Yuan Xie. 2015. Nvmain 2.0: A user-friendly memory simulator to model (non-) volatile memory systems. IEEE Computer Architecture Letters 14, 2 (2015), 140–143.
[55]
Bahareh Pourshirazi, Majed Valad Beigi, Zhichun Zhu, and Gokhan Memik. 2018. WALL: A writeback-aware LLC management for PCM-based main memory systems. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 449–454.
[56]
Keni Qiu, Qingan Li, and Chun Jason Xue. 2014. Write mode aware loop tiling for high performance low power volatile PCM. In 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, 1–6.
[57]
Moinuddin K Qureshi, John Karidis, Michele Franceschini, Vijayalakshmi Srinivasan, Luis Lastras, and Bulent Abali. 2009. Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling. In 2009 42nd Annual IEEE/ACM international symposium on microarchitecture (MICRO). IEEE, 14–23.
[58]
Moinuddin K. Qureshi, Vijayalakshmi Srinivasan, and Jude A. Rivers. 2009. Scalable High Performance Main Memory System Using Phase-Change Memory Technology. In Proceedings of the International Symposium on Computer ARchitecture. Austin, TX.
[59]
Daniel Sanchez and Christos Kozyrakis. 2013. ZSim: Fast and accurate microarchitectural simulation of thousand-core systems. ACM SIGARCH Computer architecture news 41, 3 (2013), 475–486.
[60]
Nak Hee Seong, Dong Hyuk Woo, and Hsien-Hsin S Lee. 2010. Security refresh: Prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping. ACM SIGARCH computer architecture news 38, 3 (2010), 383–394.
[61]
Andre Seznec. 2010. A phase change memory as a secure main memory. IEEE Computer Architecture Letters 9, 1 (2010), 5–8.
[62]
Shihao Song, Anup Das, Onur Mutlu, and Nagarajan Kandasamy. 2020. Improving phase change memory performance with data content aware access. In Proceedings of the 2020 ACM SIGPLAN International Symposium on Memory Management. 30–47.
[63]
spec 2017. SPEC CPU2017 Benchmarks. (2017). https://www.spec.org/cpu2017/
[64]
Dmitrii Ustiugov, Mark Suterhland, Alexandros Daglis, Edouard Bugnion, Dionisios Pnevmatikatos, Javier Picorel, and Babak Falsafi. 2018. Design Guidelines for High-Performance SCM Hierarchies. In Proceedings of the 4th International Symposium on Memory Systems. National Harbor, D.C.
[65]
Majed Valad Beigi, Bahareh Pourshirazi, Gokhan Memik, and Zhichun Zhu. 2020. DeepSwapper: A Deep Learning Based Page Swap Management Scheme for Hybrid Memory Systems. In Proceedings of the ACM International Conference on Parallel Architectures and Compilation Techniques. 353–354.
[66]
Ilia Valov, Rainer Waser, John R Jameson, and Michael N Kozicki. 2011. Electrochemical metallization memories—fundamentals, applications, prospects. Nanotechnology 22, 25 (2011), 254003.
[67]
Candace Walden, Devesh Singh, Meenatchi Jagasivamani, Shang Li, Luyi Kang, Mehdi Asnaashari, Sylvain Dubois, Bruce Jacob, and Donald Yeung. 2021. Monolithically Integrating Non-Volatile Main Memory over the Last-Level Cache. ACM Transactions on Architecture and Code Optimization (TACO) 18, 4 (2021), 1–26.
[68]
Guan Wang, Fei Peng, Lei Ju, Lei Zhang, and Zhiping Jia. 2014. Double circulation wear leveling for PCM-based embedded systems. In Advanced Computer Architecture. Springer, 190–200.
[69]
Hao Wang, Jie Zhang, Sharmila Shridhar, Gieseo Park, Myoungsoo Jung, and Nam Sung Kim. 2016. DUANG: Fast and lightweight page migration in asymmetric memory systems. In 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 481–493.
[70]
Jue Wang, Xiangyu Dong, Guangyu Sun, Dimin Niu, and Yuan Xie. 2011. Energy-efficient multi-level cell phase-change memory system with data encoding. In 2011 IEEE 29th International Conference on Computer Design (ICCD). IEEE, 175–182.
[71]
Zhe Wang, Shuchang Shan, Ting Cao, Junli Gu, Yi Xu, Shuai Mu, Yuan Xie, and Daniel A Jiménez. 2013. WADE: Writeback-aware dynamic cache management for NVM-based main memory system. ACM Transactions on Architecture and Code Optimization (TACO) 10, 4 (2013), 1–21.
[72]
Cong Xu, Dimin Niu, Naveen Muralimanohar, Rajeev Balasubramonian, Tao Zhang, Shimeng Yu, and Yuan Xie. 2015. Overcoming the challenges of crossbar resistive memory architectures. In 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). IEEE, 476–488.
[73]
Cong Xu, Dimin Niu, Naveen Muralimanohar, Norman P Jouppi, and Yuan Xie. 2013. Understanding the trade-offs in multi-level cell ReRAM memory design. In 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, 1–6.
[74]
Jie Xu, Dan Feng, Yu Hua, Wei Tong, Jingning Liu, and Chunyan Li. 2018. Extending the lifetime of NVMs with compression. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 1604–1609.
[75]
Jie Xu, Dan Feng, Yu Hua, Wei Tong, Jingning Liu, Chunyan Li, and Wen Zhou. 2017. Improving performance of TLC RRAM with compression-ratio-aware data encoding. In 2017 IEEE International Conference on Computer Design (ICCD). IEEE, 573–580.
[76]
Dongliang Xue, Chao Li, Linpeng Huang, Chentao Wu, and Tianyou Li. 2018. Adaptive memory fusion: Towards transparent, agile integration of persistent memory. In 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 324–335.
[77]
Byung-Do Yang, Jae-Eun Lee, Jang-Su Kim, Junghyun Cho, Seung-Yun Lee, and Byoung-Gon Yu. 2007. A low power phase-change random access memory using a data-comparison write scheme. In 2007 IEEE International Symposium on Circuits and Systems. IEEE, 3014–3017.
[78]
Hongliang Yu and Yuyang Du. 2014. Increasing Endurance and Security of Phase-Change Memory with Multi-Way Wear-Leveling. IEEE Trans. Comput. 63, 5 (2014), 1157–1168. https://doi.org/10.1109/TC.2012.292
[79]
Shimeng Yu, Yang Yin Chen, Ximeng Guan, and H.-S. Philip Wong. 2012. A Monte Carlo Study of the Low Resistance State Retention of HfOx Based Resistive Switching Memory. Applied Physics Letters (2012).
[80]
Jianhui Yue and Yifeng Zhu. 2013. Accelerating write by exploiting PCM asymmetries. In 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA). IEEE, 282–293.
[81]
Furqan Zahoor, Tun Zainal Azni Zulkifli, and Farooq Ahmad Khanday. 2020. Resistive random access memory (RRAM): an overview of materials, switching mechanism, performance, multilevel cell (MLC) storage, modeling, and applications. Nanoscale research letters 15, 1 (2020), 1–26.
[82]
Deshan Zhang, Lei Ju, Mengying Zhao, Xiang Gao, and Zhiping Jia. 2016. Write-back aware shared last-level cache management for hybrid main memory. In 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, 1–6.
[83]
Jialiang Zhang, Nicholas Beckwith, and Jing Jane Li. 2021. GORDON: Benchmarking Optane DC Persistent Memory Modules on FPGAs. In 2021 IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM). 97–105. https://doi.org/10.1109/FCCM51124.2021.00019
[84]
Lunkai Zhang, Brian Neely, Diana Franklin, Dmitri Strukov, Yuan Xie, and Frederic T Chong. 2016. Mellow writes: Extending lifetime in resistive memories through selective slow write backs. In 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA). IEEE, 519–531.
[85]
Mingzhe Zhang, Lunkai Zhang, Lei Jiang, Frederic T Chong, and Zhiyong Liu. 2019. Quick-and-Dirty: An Architecture for High-Performance Temporary Short Writes in MLC PCM. IEEE Trans. Comput. 68, 9 (2019), 1365–1375.
[86]
Mingzhe Zhang, Lunkai Zhang, Lei Jiang, Zhiyong Liu, and Frederic T. Chong. 2017. Balancing Performance and Lifetime of MLC PCM by Using a Region Retention Monitor. In International Symposium on High Performance Computer Architecture.
[87]
Wangyuan Zhang and Tao Li. 2009. Exploring phase change memory and 3D die-stacking for power/thermal friendly, fast and durable memory architectures. In 2009 18th International Conference on Parallel Architectures and Compilation Techniques. IEEE, 101–112.
[88]
Yang Zhang, Dan Feng, Wei Tong, Jingning Liu, Chengning Wang, and Jie Xu. 2019. Tiered-ReRAM: A low latency and energy efficient TLC crossbar ReRAM architecture. In 2019 35th Symposium on Mass Storage Systems and Technologies (MSST). IEEE, 92–102.
[89]
Yang Zhang, Dan Feng, Wei Tong, Jingning Liu, Chengning Wang, and Jie Xu. 2019. Tiered-ReRAM: A Low Latency and Energy Efficient TLC Crossbar ReRAM Architecture. In 2019 35th Symposium on Mass Storage Systems and Technologies (MSST). 92–102. https://doi.org/10.1109/MSST.2019.00-13
[90]
Meiran Zhao, Huaqiang Wu, Bin Gao, Xiaoyu Sun, Yuyi Liu, Peng Yao, Yue Xi, Xinyi Li, Qingtian Zhang, Kanwen Wang, 2018. Characterizing endurance degradation of incremental switching in analog RRAM for neuromorphic systems. In 2018 IEEE International Electron Devices Meeting (IEDM). IEEE, 20–2.
[91]
Miao Zhou, Yu Du, Bruce Childers, Rami Melhem, and Daniel Mossé. 2012. Writeback-aware partitioning and replacement for last-level caches in phase change main memory systems. ACM Transactions on Architecture and Code Optimization (TACO) 8, 4 (2012), 1–21.
[92]
Ping Zhou, Bo Zhao, Jun Yang, and Youtao Zhang. 2009. A durable and energy efficient main memory using phase change memory technology. ACM SIGARCH computer architecture news 37, 3 (2009), 14–23.

Index Terms

  1. MORSE: Memory Overwrite Time Guided Soft Writes to Improve ReRAM Energy and Endurance
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        PACT '24: Proceedings of the 2024 International Conference on Parallel Architectures and Compilation Techniques
        October 2024
        375 pages
        ISBN:9798400706318
        DOI:10.1145/3656019
        This work is licensed under a Creative Commons Attribution International 4.0 License.

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 13 October 2024

        Check for updates

        Author Tags

        1. Emerging Non-Volatile Memory.
        2. Resistive RAM
        3. Soft Writes
        4. Write Energy and Endurance

        Qualifiers

        • Research-article
        • Research
        • Refereed limited

        Funding Sources

        • Department of Defense

        Conference

        PACT '24
        Sponsor:

        Acceptance Rates

        Overall Acceptance Rate 121 of 471 submissions, 26%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • 0
          Total Citations
        • 192
          Total Downloads
        • Downloads (Last 12 months)192
        • Downloads (Last 6 weeks)63
        Reflects downloads up to 04 Feb 2025

        Other Metrics

        Citations

        View Options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        HTML Format

        View this article in HTML Format.

        HTML Format

        Login options

        Figures

        Tables

        Media

        Share

        Share

        Share this Publication link

        Share on social media