skip to main content
10.1145/3316781.3317794acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

DCFNoC: A Delayed Conflict-Free Time Division Multiplexing Network on Chip

Published: 02 June 2019 Publication History

Abstract

The adoption of many-cores in safety-critical systems requires real-time capable networks on chip (NoC). In this paper we propose a new time-predictable NoC design paradigm where contention within the network is eliminated. This new paradigm builds on the Channel Dependency Graph (CDG) and guarantees by design the absence of contention. Our delayed conflict-free NoC (DCFNoC) is able to naturally inject messages using a TDM period equal to the optimal theoretical bound and without the need of using a computationally demanding offline process. Results show that DCFNoC guarantees time predictability with very low implementation cost.

References

[1]
Florian Brandner and Martin Schoeberl. 2012. Static Routing in Symmetric Real-time Network-on-chips. In Proceedings of the 20th International Conference on Real-Time and Network Systems (RTNS '12). ACM, New York, NY, USA, 61--70.
[2]
N. Concer, A. Vesco, R. Scopigno, and L. P. Carloni. 2011. A dynamic and distributed TDM slot-scheduling protocol for QoS-oriented Networks-on-Chip. In 2011 IEEE 29th International Conference on Computer Design (ICCD). 31--38.
[3]
W. J. Dally and H. Aoki. 1993. Deadlock-free adaptive routing in multicomputer networks using virtual channels. IEEE Transactions on Parallel and Distributed Systems 4, 4 (April 1993), 466--475.
[4]
Jose Duato, Sudhakar Yalamanchili, and Lionel Ni. 1997. Interconnection Networks: An Engineering Approach (1st ed.). IEEE Computer Society Press, Los Alamitos, CA, USA.
[5]
K. Goossens, J. Dielissen, and A. Radulescu. 2005. AEthereal network on chip: concepts, architectures, and implementations. IEEE Design Test of Computers 22, 5 (Sept 2005), 414--421.
[6]
A. Hansson, M. Subburaman, and K. Goossens. 2009. Aelite: A flit-synchronous Network on Chip with composable and predictable services. In 2009 Design, Automation Test in Europe Conference Exhibition. 250--255.
[7]
Sebastian Kehr, Eduardo Quiñones, Dominik Langen, Bert Böddeker, and Günter Schäfer. 2017. Parcus: Energy-Aware and Robust Parallelization of AUTOSAR Legacy Applications. In 2017 IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2017, Pittsburg, PA, USA, April 18-21, 2017. 343--352.
[8]
Z. Lu and A. Jantsch. 2008. TDM Virtual-Circuit Configuration for Network-on-Chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 16, 8 (Aug 2008), 1021--1034.
[9]
Nangate FreePDK45 Library 2010. The Nangate Open Cell Library, 45 nm FreePDK. https://www.si2.org/openeda.si2.org/projects/nangatelib/
[10]
Milos Panic, Carles Hernández, Eduardo Quiñones, Jaume Abella, and Francisco J. Cazorla. 2016. Modeling High-Performance Wormhole NoCs for Critical Real-Time Embedded Systems. In 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), Vienna, Austria, April 11-14, 2016. 267--278.
[11]
A. Psarras, J. Lee, I. Seitanidis, C. Nicopoulos, and G. Dimitrakopoulos. 2016. PhaseNoC: Versatile Network Traffic Isolation Through TDM-Scheduled Virtual Channels. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, 5 (May 2016), 844--857.
[12]
A. Psarras, I. Seitanidis, C. Nicopoulos, and G. Dimitrakopoulos. 2015. PhaseNoC: TDM scheduling at the virtual-channel level for efficient network traffic isolation. In 2015 Design, Automation Test in Europe Conference Exhibition (DATE). 1090--1095.
[13]
M. Schoeberl, F. Brandner, J. Sparsø, and E. Kasapaki. 2012. A Statically Scheduled Time-Division-Multiplexed Network-on-Chip for Real-Time Systems. In 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip. 152--160.
[14]
Zheng Shi and Alan Burns. 2008. Real-Time Communication Analysis for On-Chip Networks with Wormhole Switching. In Second International Symposium on Networks-on-Chips, NOCS 2008, 5-6 April 2008, Newcastle University, UK. Proceedings. 161--170.
[15]
R. B. Sørensen, J. Sparsø, M. R. Pedersen, and J. Højgaard. 2014. A Metaheuristic Scheduler for Time Division Multiplexed Networks-on-Chip. In 2014 IEEE 17th International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing. 309--316.
[16]
R. A. Stefan, A. Molnos, and K. Goossens. 2014. dAElite: A TDM NoC Supporting QoS, Multicast, and Fast Connection Set-Up. IEEE Trans. Comput. 63, 3 (March 2014), 583--594.
[17]
Hassan M. G. Wassel, Ying Gao, Jason K. Oberg, Ted Huffmire, Ryan Kastner, Frederic T. Chong, and Timothy Sherwood. 2013. SurfNoC: A Low Latency and Provably Non-interfering Approach to Secure Networks-on-chip. In Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA '13). ACM, New York, NY, USA, 583--594.
[18]
Xilinx 2016. Vivado Design Suite 2016.2. https://www.xilinx.com/products/design-tools/vivado.html

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '19: Proceedings of the 56th Annual Design Automation Conference 2019
June 2019
1378 pages
ISBN:9781450367257
DOI:10.1145/3316781
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 02 June 2019

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. network-on-chip
  2. real-time systems
  3. time division multiplexing (TDM)
  4. time predictable network

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Funding Sources

Conference

DAC '19
Sponsor:

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)11
  • Downloads (Last 6 weeks)2
Reflects downloads up to 16 Oct 2024

Other Metrics

Citations

Cited By

View all

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media