skip to main content
research-article

Reliability improvement of logic and clock paths in power-efficient designs

Published: 13 January 2014 Publication History

Abstract

Performance degradation due to transistor aging is a significant impediment to high-performance IC design due to increasing concerns of reliability mechanisms such as negative-bias-temperature-instability (NBTI). The concern only grows with technology scaling as the effects of positive bias temperature instability (PBTI) is becoming prominent in future technologies and compounding with the effects of NBTI. Although aging of transistor is inevitable and the magnitude of degradation due to aging varies depending upon the context. Specifically, in power-efficient systems designs, the logic and clock paths are susceptible to static stress resulting in peak degradation due to BTI occurrence when clock is gated. In this article, we present the reliability impact of making systems power efficient and propose a design-for-reliability methodology that can be used in conjunction with low-power design techniques to alleviate the stress conditions caused by rendering circuits in idle state. The technique—BTI-Refresh, is shown to be applicable to both logic and clock paths alike and focuses on preventing prolonged static stress using periodic refreshes to achieve alternating stress. The mechanism is shown to integrate seamlessly into the design at gate-level without requiring any architectural or RT-level changes. Using ISCAS benchmarks and Kogge-Stone-Adder circuits, it is shown to reduce the aging effect in logic path delay due to static stress by up to 50% with negligible area and power overhead. BTI-Refresh is extended to clock-paths to prevent pulse-width degradation due to static aging and with minimal clock-skew.

References

[1]
Alam, M. A. and Mahapatra, S. 2004. A comprehensive model of PMOS NBTI degradation. Microelectron. Reliab. 45, 71--81.
[2]
Bansal, A., Rao, R., Kim, J. J., Zafar, S., Stathis, J. H., and Ching-Te Chuang. 2009. Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability. Microelectron. Reliab. 49, 6, 642--649.
[3]
Becker, E. A. 2008. Design of an integrated half-cycle delay line duty cycle corrector delay-locked loop. Master's Thesis, Boise State University.
[4]
Bhardwaj, S., Wang, W., Vattikonda, R., Cao, Y., and Vrudhula, S. 2006. Predictive modeling of the NBTI effect for reliable design. In Proceedings of the Custom Integrated Circuits Conference (CICC'06). IEEE, 189--192.
[5]
Cadence White Paper. 2012. http://www.cadence.com/rl/Resources/technical_papers/Clock Concurrent Optimization Technical Paper.aspx.
[6]
Chakraborty, A., Ganesan, G., Rajaram, A., and Pan, D. Z. 2009. Analysis and optimization of NBTI induced clock skew in gated clock trees. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE'09), 296--299.
[7]
Chen, G., Li, M. F., Ang, C. H., Zheng, J. Z., and Kwong, D. L. 2002. Dynamic NBTI of p-MOS transistors and its impact on MOSFET scaling. In IEEE Electron Device Lett. 23, 12, 734--736.
[8]
Chen, H.-C. and Du, D. H. C. 1991, Path sensitization in critical path problem, In Proceedings of the IEEE International Conference on Computer-Aided Design, 208--211.
[9]
Chen, J., Wang, S., Bidokhti, N., and Tehranipoor, M. 2011. A framework for fast and accurate critical-reliability paths identification. In Proceedings of the IEEE North Atlantic Test Workshop (NATW).
[10]
Ernst, D., Nam Sung Kim, Das, S., Pant, S., Rao, R., Toan Pham, Ziesler, C., Blaauw, D., Austin, T., Flautner, K. and Mudge, T. 2003. Razor: A low-power pipeline based on circuit-level timing speculation. In Proceedings of the International Symposium on Microarchitecture, 7--18.
[11]
Fernandez, R., Kaczer, B., Nackaerts, A., Demuynck, S., Rodriguez, R., Nafria, M., and Groeseneken, G. 2006. AC NBTI studied in the 1Hz--2GHz range on dedicated on-chip CMOS circuits. In Proceedings of the International Electron Devices Meeting, 1--4.
[12]
Gammie, G., Wang, A., Mair, H., Lagerquist, R., Chau, M., Royannez, P., Gururajarao, S., and Ko, U. 2010. SmartReflex power and performance management technologies for 90nm, 65nm, and 45nm mobile application processors. IEEE 98, 2, 144--159.
[13]
Haggag, A., Lemanski, M., Anderson, G., Abramowitz, P., and Moosa, M. 2007. Realistic projections of product fmax shift and statistics due to HCI and NBTI. In Proceedings of the Reliability Physics Symposium, 93--96.
[14]
Hofmann, K., Reisinger, H., Ermisch, K., Schlunder, C., Gustin, W., Pompl, T., Georgakos, G., Arnim, K. V., Hatsch, J., Kodytek, T., Baumann, T., and Pacha, C. 2010. Highly accurate product-level aging monitoring in 40nm CMOS. In Proceedings of the Symposium on VLSI Technology (VLSIT), 27--28.
[15]
Huard, V., Parthasarathy, C. R., Bravaix, A., Guerin, C., and Pion, E. 2009. CMOS device design-in reliability approach in advanced nodes. In Proceedings of the IEEE International Reliability Physics Symposium, 624--633.
[16]
Kaczer, B., Grasser, T., Roussel, P. J., Martin-Martinez, J., O'connor, R., O'sullivan, B. J., and Groeseneken, G. 2008. Ubiquitous relaxation in BTI stressing—New evaluation and insights. In Proceedings of the IEEE International Reliability Physics Symposium, 20--27.
[17]
Kimizuka, N., Yamamoto, T., Mogami, T., Yamaguchi, K., Imai, K., and Horiuchi, T. 1999. The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling. In Proceedings of the Symposium on VLSI Technology Digest of Technical Papers, 73--74.
[18]
Kirkpatrick, S., Gelatt, C. D., and Vecchi, M. P. 1989. Optimization by simulated annealing. Science, 220, 671--680.
[19]
Konoura, H., Mitsuyama, Y., Hashimoto, M., and Onoye, T. 2010. Comparative study on delay degrading estimation due to NBTI with circuit/instance/transistor-level stress probability consideration. In Proceedings of the 11th International Symposium on Quality Electronic Design, 646--651.
[20]
Krishnan, A. T., Cano, F., Chancellor, C., Reddy, V., Zhangfen Qi, Jain, P., Carulli, J., Masin, J., Zuhoski, S., Krishnan, S., and Ondrusek, J. 2010. Product drift from NBTI: Guardbanding, circuit and statistical effects. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), 4.3.1--4.3.4.
[21]
Kumar, S. V., Kim, K. H., and Sapatnekar, S. S. 2006. Impact of NBTI on SRAM read stability and design for reliability. In Proceedings of the 7th International Symposium on Quality Electronic Design, 212--218.
[22]
Kufluoglu, H., Reddy, V., Marshall, A., Krick, J., Ragheb, T., Cirba, C., Krishnan, A., and Chancellor, C. 2010. An extensive and improved circuit simulation methodology for NBTI recovery. In Proceedings of the IEEE International Reliability Physics Symposium, 670--675.
[23]
Lorenz, D., Georgakos, G., and Schlichtmann, U. 2009. Aging analysis of circuit timing considering NBTI and HCI. In Proceedings of the 15th IEEE International OnLine Testing Symposium, 3--8.
[24]
OPENCORES, 2012. www.opencores.org.
[25]
Panda, P. R., Silpa, B. V. N., Shrivastava, A., and Gumminidipudi, K. 2010. Power-Efficient System Design, Springer.
[26]
Qing. K Zhu. 2002. High-Speed Clock Network Design. Springer.
[27]
Ramey, S., Prasad, C., Agostinelli, M., Sangwoo, P., Walstra, S., Gupta, S., and Hicks, J. 2009. Frequency and recovery effects in high-κ BTI degradation. In Proceedings of the IEEE International Reliability Physics Symposium, 1023--1027.
[28]
Rangan, S., Mielke, N., and Yeh, E. C. C. 2003. Universal recovery behavior of negative bias temperature instability {PMOSFETs}. In Proceedings of the IEEE International Electron Devices Meeting, 14.3.1--14.3.4.
[29]
Reddy, V., Carulli, J., Krishnan, A., Bosch, W., and Burgess, B. 2004. Impact of negative bias temperature instability on product parametric drift. In Proceedings of the IEEE International Test Conference, 148--155.
[30]
Reisinger, H., Grasser, T., Hofmann, K., Gustin, W., and Schlünder, C. 2010. The impact of recovery on BTI reliability assessments. In Proceedings of the IEEE International Integrated Reliability Workshop Final Report (IIRW), 12--16.
[31]
Saluja, K. K., Vijayakumar, S., Sootkaneung, W., and Yang, X. 2008. NBTI Degradation: A Problem or a Scare? In Proceedings of the 21st International Conference on VLSI Design, 137--142.
[32]
Wang, W., Yang, S., and Cao, Y. 2008. Node Criticality Computation for Circuit Timing Analysis and Optimization under NBTI Effect. In Proceedings of the 9th International Symposium on Quality Electronic Design, 763--768.
[33]
Wang, Y., Chen, X., Wang, W., Varsha, B., Cao, Y., Xie, Y., and Yang, H. 2009. On the efficacy of input vector control to mitigate NBTI effects and leakage power. In Proceedings of the 1st International Symposium on Quality Electronic Design, 19--26.
[34]
Zafar, S. 2005. Statistical Mechanics based model for negative bias temperature instability induced degradation. J. Appl. Phys.
[35]
Zafar, S., Callegari, A., Gusev, E., and Fischetti, M. V. 2003. Charge trapping related threshold voltage instabilities in high permittivity gate dielectric stacks. J. Appl. Phys.

Cited By

View all

Index Terms

  1. Reliability improvement of logic and clock paths in power-efficient designs

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Journal on Emerging Technologies in Computing Systems
    ACM Journal on Emerging Technologies in Computing Systems  Volume 10, Issue 1
    Special Issue on Reliability and Device Degradation in Emerging Technologies and Special Issue on WoSAR 2011
    January 2014
    210 pages
    ISSN:1550-4832
    EISSN:1550-4840
    DOI:10.1145/2543749
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 13 January 2014
    Accepted: 01 July 2012
    Revised: 01 June 2012
    Received: 01 March 2012
    Published in JETC Volume 10, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Negative Bias Temperature Instability (NBTI)
    2. Positive Bias Temperature Instability (PBTI)
    3. clock network design
    4. clock tree reliability
    5. logic paths reliability
    6. signal probability

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)7
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 25 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media