skip to main content
10.1109/ASP-DAC58780.2024.10473842acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Timing Analysis Beyond Complementary CMOS Logic Styles

Published: 03 April 2024 Publication History

Abstract

With scaling unabated, device density continues to increase, but power and thermal budgets prevent the full use of all available devices. This leads to the exploration of alternative circuit styles beyond traditional CMOS, especially dynamic data-dependent styles, but the excessive pessimism inherent in conventional static timing analysis tools presents a barrier to adoption. One such circuit family is Pass-Transistor Logic (PTL), which holds significant promise but behaves differently from CMOS in that traditional CMOS-oriented EDA tools cannot produce sufficiently accurate performance estimates. In this work, we revisit tuning analysis and its premises and show a significantly improved methodology of a more generalized dynamic timing engine that accurately predicts timing performance for traditional CMOS as well as PTL with an accuracy of 4.0% compared to SPICE and with a run-time comparable to traditional gate-level simulation. The run-time improvement compared with SPICE is four orders of magnitude.

References

[1]
P. Gupta et al., "Underdesigned and opportunistic computing in presence of hardware variability," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 32, 2013.
[2]
D. Ernst et al, "Razor: a low-power pipeline based on circuit-level timing speculation," in IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36., 2003.
[3]
J. Constantin et al, "Exploiting dynamic timing margins in microprocessors for frequency-over-scaling with instruction-based clock adjustment," in Proceedings of the 2015 Design, Automation & Test in Europe Conference (DATE), 2015.
[4]
I. Tsiokanos et al, "Low-power variation-aware cores based on dynamic data-dependent bitwidth truncation," in 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2019.
[5]
R. B. Hitchcock, G. L. Smith, and D. D. Cheng, "Timing analysis of computer hardware," IBM Journal of Research and Development, 1982.
[6]
H. Cherupalli and J. Sartori, "Scalable n-worst algorithms for dynamic timing and activity analysis," in 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2017.
[7]
J. Zhang et al, "FATE: fast and accurate timing error prediction framework for low power DNN accelerator design," CoRR, 2018.
[8]
Y. Ye, "Graph-learning-driven path-based timing analysis results predictor from graph based timing analysis," in 2023 28th Asia and South Pacific Design Automation Conference (ASP-DAC), 2023.
[9]
D. Garyfallou et al, "Accurate estimation of dynamic timing slacks using event-driven simulation," in 2020 21st International Symposium on Quality Electronic Design (ISQED), 2020.
[10]
Z. Zhang, "Eventtimer: Fast and accurate event-based dynamic timing analysis," in 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2022.
[11]
Y.-D. Chih et al,. "An 89TOPS/W and 16.3TOPS/mm2 All-Digital SRAM-Based Full-Precision Compute-In Memory Macro in 22nm for Machine-Learning Edge Applications," in IEEE ISSCC, 2021.
[12]
André L. Chinazzo et al, "Investigation of Pass Transistor Logic in a 12nm FinFET CMOS Technology," in 2022 29th IEEE International Conference on Electronics, Circuits and Systems (ICECS), 2022.
[13]
J. Juan-Chico et al, "Inertial and degradation delay model for CMOS logic gates," in 2000 IEEE International Symposium on Circuits and Systems (ISCAS), 2000.
[14]
M. Hashimoto et al, "Equivalent waveform propagation for static timing analysis," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2004.
[15]
J. Lappas et al, "Revisiting pass-transistor logic styles in a 12nm FinFET technology node," in 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2022.
[16]
H. T. Bui, Y. Wang, and Y. Jiang, "Design and analysis of low-power 10-transistor full adders using novel xor-xnor gates," IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, 2002.
[17]
H. Naseri and S. Timarchi, "Low-power and fast full adder by exploring new xor and xnor gates," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2018.

Index Terms

  1. Timing Analysis Beyond Complementary CMOS Logic Styles
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        ASPDAC '24: Proceedings of the 29th Asia and South Pacific Design Automation Conference
        January 2024
        1008 pages
        ISBN:9798350393545
        DOI:10.1109/3655039

        Sponsors

        Publisher

        IEEE Press

        Publication History

        Published: 03 April 2024

        Check for updates

        Qualifiers

        • Research-article

        Conference

        ASPDAC '24
        Sponsor:
        ASPDAC '24: 29th Asia and South Pacific Design Automation Conference
        January 22 - 25, 2024
        Incheon, Republic of Korea

        Acceptance Rates

        Overall Acceptance Rate 466 of 1,454 submissions, 32%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • 0
          Total Citations
        • 23
          Total Downloads
        • Downloads (Last 12 months)23
        • Downloads (Last 6 weeks)2
        Reflects downloads up to 04 Feb 2025

        Other Metrics

        Citations

        View Options

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Figures

        Tables

        Media

        Share

        Share

        Share this Publication link

        Share on social media