skip to main content
research-article

Deflection routing in 3D network-on-chip with limited vertical bandwidth

Published: 25 October 2013 Publication History

Abstract

This article proposes a deflection routing for 3D NoC with serialized TSVs for vertical links. Compared to buffered routing, deflection routing provides area- and power-efficient communication and little loss of performance under low to medium traffic load. Under 3D environments, the deflection routing can yield even better performance than buffered routing when key aspects are properly taken into account. However, the existing deflection routing technique cannot be directly applied because the serialized TSV links will take longer time to send data than ordinary planar links and cause many problems. A naive deflection through a TSV link can cause significantly longer latency and more energy consumption even for communications through planar links. This article proposes a method to mitigate the effect and also solve arising deadlock and livelock problems. Evaluation of the proposed scheme shows its effectiveness in throughput, latency, and energy consumption.

References

[1]
Adapteva. 2012. Epiphany. http://www.adapteva.com/products/epiphany-ip/epiphany-architecture-ip/.
[2]
Bertozzi, D. and Benini, L. 2004. Xpipes: a network-on-chip architecture for gigascale systems-on-chip. IEEE Circuits and Systems Mag. 4, 18--31.
[3]
Bienia, C., Kumar, S., Singh, J. P., and Li, K. 2008. The PARSEC benchmark suite: characterization and architectural implications. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. 72--81.
[4]
Carlson, T. E., Heirman, W., and Eeckhout, L. 2011. Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation. In Proceedings of International Conference for High Performance Computing, Networking, Storage and Analysis.
[5]
Chao, C.-H., Jheng, K.-Y., Wang, H.-Y., Wu, J.-C., and Wu, A.-Y. 2010. Traffic- and thermal-aware run-time thermal management scheme for 3D NoC systems. In Proceedings of the International Symposium on Networks-on-Chip. 223--230.
[6]
Davis, W. R., Wilson, J., Mick, S., Xu, J., Hua, H., Mineo, C., Sule, A. M., Steer, M., and Franzon, P. D. 2005. Demystifying 3D ICs: The pros and cons of going vertical. IEEE Des. Test Comput. 22, 498--510.
[7]
Dally, W. J. 1990. Virtual-channel flow control. In Proceedings of the Annual International Symposium on Computer Architecture. 60--68.
[8]
Fallin, C., Craik, C., and Mutlu, O. 2011. CHIPPER: A low-complexity bufferless deflection router. In Proceedings of the International Symposium on High Performance Computer Architecture. 144--155.
[9]
Fallin, C., Nazario, G., Yu, X., Chang, K., Ausavarungnirun, R., and Mutlu, O. 2012. MinBD: Minimally-buffered deflection routing for energy-efficient interconnect. In Proceedings of the International Symposium on Networks on Chip. 1--10.
[10]
Fallin, C., Yu, X., Nazario, G., and Mutlu, O. 2011. A high-performance hierarchical ring on-chip interconnect with low-cost routers. SAFARI Tech. rep. 2011--007.
[11]
Goossens, K., Dielissen, J., and Radulescu, A. 2005. AEthereal network on chip: concepts, architectures, and implementations. IEEE Des. Test Comput. 22, 414--21.
[12]
Hoskote, Y., Vangal, S., Singh, A., Borkar, N., and Borkar, S. 2007. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27, 51--61.
[13]
Hu, J. and Marculescu, R. 2003. Energy-aware mapping for tile-based NoC architectures under performance constraints. In Proceedings of the Asia and South Pacific Design Automation Conference. 233--239.
[14]
Kahng, A. B., Li, B., Peh, L.-S., and Samadi. K. 2009. ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In Proceedings of the Conference on Design, Automation and Test in Europe. 423--428.
[15]
Kim, C., Burger, D., and Keckler, S. W. 2002. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. SIGOPS Operat. Syst. Rev. 36, 211--222.
[16]
Kim, D. H. and Lim, S. K. 2010. Through-silicon-via-aware delay and power prediction model for buffered interconnects in 3D ICs. In Proceedings of the International Workshop on System Level Interconnect Prediction. 25--32.
[17]
Kim, J. 2009. Low-cost router microarchitecture for on-chip networks. In Proceedings of the International Symposium on Microarchitecture. 255--266.
[18]
Kim, J., Choi, K., and Loh, G. 2012. Exploiting new interconnect technologies in on-chip communication. IEEE J. Emerging Sel. Top. Circuits Syst. 2, 124--136.
[19]
Kim, J., Nicopoulos, C., Park, D., Das, R., Xie, Y., Narayanan, V., Yousif, M. S., and Das, C. R. 2007. A novel dimensionally-decomposed router for on-chip communication in 3D architectures. SIGARCH Comput. Archit. News 35, 138--149.
[20]
Lee, J. and Choi, K. 2012. Memory-aware mapping and scheduling of tasks and communications on many-core SoC. In Proceedings of the Asia and South Pacific Design Automation Conference. 419--424.
[21]
Lee, J., Lee, D., Kim, S., and Choi, K. 2013. Deflection routing in 3D network-on-chip with TSV serialization. In Proceedings of the Asia and South Pacific Design Automation Conference, 29--34.
[22]
Lee, J., Zhu, M., Choi, K., Ahn, J. H., and Sharma, R. 2011. 3D network-on-chip with wireless links through inductive coupling. In Proceedings of the International SoC Design Conference. 353--356.
[23]
Lenoski, D., Laudon, J., Gharachorloo, K., Weber, W. D., Gupta, A., Hennessy, J., Horowitz, M., and Lam, M. S. 1992. The Stanford Dash multiprocessor. Computer 25, 63--79.
[24]
Liu, W., Xu, J., Wu, X., Ye, Y., Wang, X., Zhang, W., Nikdast, M., and Wang, Z. 2011. A NoC traffic suite based on real applications. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. 66--71.
[25]
Michelogiannakis, G., Sanchez, D., Dally, W. J., and Kozyrakis, C. 2010. Evaluating bufferless flow control for on-chip networks. In Proceedings of the International Symposium on Networks-on-Chip. 9--16.
[26]
Miura, N., Mizoguchi, D., Inoue, M., Niitsu, K., Nakagawa, Y., Tago, M., Fukaishi, M., Sakurai, T., and Kuroda, T. 2007. A 1 Tb/s 3 W inductive-coupling transceiver for 3D-stacked inter-chip clock and data link. IEEE J. Solid-State Circ. 42, 111--122.
[27]
Moscibroda, T. and Mutlu, O. 2009. A case for bufferless routing in on-chip networks. In Proceedings of the Annual International Symposium on Computer Architecture. 196--207.
[28]
Niitsu, K., Shimazaki, Y., et al. 2009. An inductive-coupling link for 3D integration of a 90nm CMOS processor and a 65nm CMOS SRAM. In Proceedings of the International Solid-State Circuits Conference. 480--481, 481a.
[29]
Ouyang, J., Xie, J., Poremba, M., and Xie, Y. 2010. Evaluation of using inductive/capacitive-coupling vertical interconnects in 3D network-on-chip. In Proceedings of the International Conference on Computer-Aided Design. 477--482.
[30]
Park, D., Eachempati, S., Das, R., Mishra, A. K., Xie, Y., Vijaykrishnan, N., and Das, C. R. 2008. MIRA: A multi-layered on-chip interconnect router architecture. SIGARCH Comput. Archit. News 36, 251--261.
[31]
Pasricha, S. 2009. Exploring serial vertical interconnects for 3D ICs. In Proceedings of the Design Automation Conference. 581--586.
[32]
Rahmani, A. M., Latif, K., Vaddina, K. R., Liljeberg, P., Plosila, J., and Tenhunen, H. 2011. Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures. In Proceedings of the International Symposium on Networks on Chip. 65--72.
[33]
Rahmani, A. M., Latif, K., Vaddina, K. R., Liljeberg, P., Plosila, J., and Tenhunen, H. 2012. ARB-NET: A novel adaptive monitoring platform for stacked mesh 3D NoC architectures. In Proceedings of the Asia and South Pacific Design Automation Conference. 413--418.
[34]
Saito, S., Kohama, Y., et al. 2009. MuCCRA-Cube: A 3D dynamically reconfigurable processor with inductive-coupling link. In Proceedings of the International Conference on Field Programmable Logic and Applications. 6--11.
[35]
Sangki, H. 2007. 3D super-via for memory applications. In Proceedings of the Micro-Systems Packaging Initiative Packaging Workshop.
[36]
Sun, C., Chen, C. H. O., Kurian, G., Wei, L., Miller, J., Agarwal, A., Peh, L.-S., and Stojanovic, V. 2012. DSENT: A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In Proceedings of the International Symposium on Networks on Chip. 201--210.
[37]
Van Der Plas, G., Limaye, P., et al. 2011. Design issues and considerations for low-cost 3-D TSV IC technology. IEEE J. Solid-State Circ. 46, 293--307.
[38]
Wang, X., Palesi, M., Yang, M., Jiang, Y., Huang, M. C., and Liu, P. 2011. Low latency and energy efficient multicasting schemes for 3D NoC-based SoCs. In Proceedings of the International Conference on VLSI and System-on-Chip. 337--342.
[39]
Wentzlaff, D., Griffin, P., Hoffmann, H., Bao, L., Edwards, B., Ramey, C., Mattina, M., Miao, C.-C., Brown, J. F., and Agarwal, A. 2007. On-chip interconnection architecture of the tile processor. IEEE Micro 27, 15--31.
[40]
Woo, S. C., Ohara, M., Torrie, E., Singh, J. P., and Gupta, A. 1995. The SPLASH-2 programs: characterization and methodological considerations. In Proceedings of the International Symposium on Computer Architecture. 24--36.
[41]
Zhu, M., Lee, J., and Choi, K. 2012. An adaptive routing algorithm for 3D mesh NoC with limited vertical bandwidth. In Proceedings of the International Conference on VLSI and System-on-Chip. 18--23.

Cited By

View all

Index Terms

  1. Deflection routing in 3D network-on-chip with limited vertical bandwidth

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 18, Issue 4
    Special Section on Networks on Chip: Architecture, Tools, and Methodologies
    October 2013
    380 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/2541012
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 25 October 2013
    Accepted: 01 July 2013
    Revised: 01 June 2013
    Received: 01 January 2013
    Published in TODAES Volume 18, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. 3D NoC
    2. TSV serialization
    3. deflection routing

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)6
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 25 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media