skip to main content
research-article

A framework for architecture-level power, area, and thermal simulation and its application to network-on-chip design exploration

Published: 29 March 2011 Publication History

Abstract

We describe the integrated power, area and thermal modeling framework in the Structural Simulation Toolkit (SST) for large-scale high performance computer simulation. It integrates various power and thermal modeling tools and computes run-time energy dissipation for core, network on chip, memory controller and shared cache. It also has functionality to update the leakage power as temperature changes.
We illustrate the utilization of the framework by applying it to explore interconnect options in manycore systems with consideration of temperature variation and leakage feedback. We compare power, energy-delay-area product (EDAP), and energy-delay product (EDP) of four manycore configurations-1 core, 2 cores, 4 cores and 8 cores per cluster. Results from simulation with or without consideration of temperature variation both show that the 4-core per cluster configuration has the best EDAP and EDP. Even so, considering temperature variation increases total power dissipation. We demonstrate the importance of considering temperature variation in the design ow. With this power, area and thermal modeling capability, SST can be used for hardware/software co-design of future Exascale systems.

References

[1]
N. Agarwal, T. Krishna, L. Peh, and K. N. Jha. GARNET: A Detailed On-Chip Network Model inside a Full-System Simulator. IEEE Int. Sym. on Performance Analysis of Systems and Software (ISPASS09), Boston, 2009, IEEE Press.
[2]
N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. The M5 Simulator: Modeling Networked Systems. IEEE Micro 26, 4 (Jul. 2006), pp. 52--60.
[3]
D. Brooks, V. Tiwari, and M. Martonosi. Wattch: a framework for architectural-level power analysis and optimizations. 27th Int. Sym. Computer Architecture (ISCA '00). ACM, NY, 2000, pp. 83--94.
[4]
D. C. Burger, and T. M. Austin. The SimpleScalar Tool Set. CS-TR-1997-1342, Univ. Wisconsin, 1997.
[5]
A. K. Coskun, R. Strong, D. M. Tullsen, and Simunic T. Rosing. Evaluating the impact of job scheduling and power management on processor lifetime for chip multiprocessors. 11th Int. Conf. Measurement and Modeling of Computer Systems (SIGMETRICS '09). ACM, NY, 2009, pp. 169--180.
[6]
Energy Information Administration (EIA), Average Retail Price of Electricity to Ultimate Customers http://www.eia.doe.gov/cneaf/electricity/epm/table5 6 b.html, September 2009.
[7]
G. Leary, K. Mehta, and K. S. Chatha. Performance and resource optimization of NoC router architecture for master and slave IP cores. 5th IEEE/ACM Int. Conf. Hardware/Software Codesign and System Synthesis. ACM, NY, 2007, pp. 155--160.
[8]
B. Li, L. Peh, and P. Patra. Impact of Process and Temperature Variations on Network-on-Chip Design Exploration. 2nd ACM/IEEE Int. Sym. Networks-on-Chip. IEEE, 2008, pp. 117--126.
[9]
S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi. McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. 42nd Ann. IEEE/ACM Int. Sym. Microarchitecture (MICRO 42). ACM, NY, 2009, pp. 469--480.
[10]
M. M. Martin, D. J. Sorin, B. M. Beckmann, M. R. Marty, M. Xu, A. R. Alameldeen, K. E. Moore, M. D. Hill, and D. A. Wood. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Comput. Archit. News 33, 4 (Nov. 2005), pp. 92--99.
[11]
S. Mohanty, N. Ranganathan, E. Kougianos, and P. Patra. Low-Power High-Level Synthesis for Nanoscale CMOS Circuits. 1. Springer Publishing, 2008.
[12]
S. Prakash, E. Deelman, and R. Bagrodia. Asynchronous Parallel Simulation of Parallel Programs. IEEE Trans. Softw. Eng. 26, 5 (May. 2000), pp. 385--400.
[13]
Register 2009. http://www.theregister.co.uk/2009/05/26/new apple data center/
[14]
A. F. Rodrigues. The structural simulation toolkit, http:// www.cs.sandia.gov/sst, 2007.
[15]
J. Scaramella. Worldwide Server Power and Cooling Expense 2006-2010 Forecast. http://www.sun.com/service/eco/IDCWorldwideServerPowerConsumption.pdf, 2010
[16]
D. Sekar. Optimal Signal, Power, Clock, and Thermal Interconnect Networks for High-Performance 2D and 3D Integrated Circuits. PhD, ECE GeorgiaTech, 2008.
[17]
D. C. Sekar, A. Naeemi, R. Sarvari, J. A. Davis, and J. D. Meindl. IntSim: A CAD tool for optimization of multilevel interconnect networks. 2007 IEEE/ACM Int. Conf. Computer-Aided Design IEEE Press, Piscataway, NJ, 2007, pp. 560--567.
[18]
Sim-Panalyzer library. http://www.eecs.umich.edu/ panalyzer/, 2003.
[19]
S. Singh, C. Mayfield, S. Mittal, S. Prabhakar, S. Hambrusch, and R. Shah. Orion 2.0: native support for uncertain data. 2008 ACM SIGMOD Int. Conf. Management of Data. ACM, NY, 2008, pp. 1239--1242.
[20]
K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, and D. Tarjan. Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. Archit. Code Optim. 1, 1 (Mar. 2004), pp. 94--125.
[21]
H. Su, F. Liu, A. Devgan, E. Acar, and S. Nassif. Full chip leakage estimation considering power supply and temperature variations. 2003 Int. Sym. Low Power Electronics and Design. ACM, NY, 2003, pp. 78--83.
[22]
P. N. Swarztrauber, R. A.Sweet, W. L. Briggs, V. E. Henson, and J. Otto. Paper: Bluestein's FFT for arbitrary N on the hypercube. Parallel Comput. 17, 6-7 (Sep. 1991), pp. 607--617.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM SIGMETRICS Performance Evaluation Review
ACM SIGMETRICS Performance Evaluation Review  Volume 38, Issue 4
Special issue on the 1st international workshop on performance modeling, benchmarking and simulation of high performance computing systems (PMBS 10)
March 2011
93 pages
ISSN:0163-5999
DOI:10.1145/1964218
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 29 March 2011
Published in SIGMETRICS Volume 38, Issue 4

Check for updates

Author Tags

  1. NoC
  2. performance modeling
  3. power consumption
  4. simulation framework

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)11
  • Downloads (Last 6 weeks)1
Reflects downloads up to 05 Jan 2025

Other Metrics

Citations

Cited By

View all

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media