skip to main content
10.1145/3370748.3406565acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

DidaSel: dirty data based selection of VC for effective utilization of NVM buffers in on-chip interconnects

Published: 10 August 2020 Publication History

Abstract

In a multi-core system, communication across cores is managed by an on-chip interconnect called Network-on-Chip (NoC). The utilization of NoC results in limitations such as high communication delay and high network power consumption. The buffers of the NoC router consume a considerable amount of leakage power. This paper attempts to reduce leakage power consumption by using Non-Volatile Memory technology-based buffers. NVM technology has the advantage of higher density and low leakage but suffers from costly write operation, and weaker write endurance. These characteristics impact on the total network power consumption, network latency, and lifetime of the router as a whole.
In this paper, we propose a write reduction technique, which is based on dirty flits present in write-back data packets. The method also suggests a dirty flit based Virtual Channel (VC) allocation technique that distributes writes in NVM technology-based VCs to improve the lifetime of NVM buffers.
The experimental evaluation on the full system simulator shows that the proposed policy obtains a 53% reduction in write-back flits, which results in 27% lesser total network flit on average. All these results in a significant decrease in total and dynamic network power consumption. The policy also shows remarkable improvement in the lifetime.

Supplementary Material

M4V File (3370748.3406565.m4v)
Presentation video

References

[1]
Sukarn Agarwal and Hemangee K Kapoor. 2017. Lifetime enhancement of non-volatile caches by exploiting dynamic associativity management techniques. In IFIP/IEEE International Conference on Very Large Scale Integration-System on a Chip. Springer, 46--71.
[2]
Niket Agarwal et al. 2009. GARNET: A Detailed On-Chip Network Model inside a Full-System Simulator. In Performance Analysis of Systems and Software, 2009. ISPASS 2009. IEEE International Symposium on. IEEE, 33--42.
[3]
Dmytro Apalkov et al. 2013. Spin-transfer torque magnetic random access memory (STT-MRAM). ACM Journal on Emerging Technologies in Computing Systems (JETC) 9, 2 (2013), 13.
[4]
S. Arcaro. 2014. Integration of STT-MRAM model into CACTI simulator. In Design Test Symposium (IDT), 2014 9th International. 67--72.
[5]
Nathan Binkert et al. 2011. The gem5 Simulator. ACM SIGARCH Computer Architecture News 39, 2 (2011), 1--7.
[6]
Rahul Boyapati et al. 2017. Approx-noc: A data approximation framework for network-on-chip architectures. In ACM SIGARCH Computer Architecture News, Vol. 45. ACM, 666--677.
[7]
Reetuparna Das et al. 2008. Performance and power optimization through data compression in network-on-chip architectures. In 2008 IEEE 14th International Symposium on High Performance Computer Architecture. IEEE, 215--225.
[8]
O Golonzka et al. 2018. MRAM as Embedded Non-Volatile Memory Solution for 22FFL FinFET Technology. In 2018 IEEE International Electron Devices Meeting (IEDM). IEEE, 18--1.
[9]
John L Henning. 2006. SPEC CPU2006 Benchmark Descriptions. ACM SIGARCH Computer Architecture News 34, 4 (2006), 1--17.
[10]
Yatin Hoskote et al. 2007. A 5-GHz Mesh Interconnect for a Teraflops Processor. IEEE Micro 27, 5 (2007), 51--61.
[11]
A. Jog. 2012. Cache revive: Architecting volatile STT-RAM caches for enhanced performance in CMPs. In DAC Design Automation Conference 2012. 243--252.
[12]
Ju Sung Kim et al. 2018. Lifetime Improvement Method using Threshold-based Partial Data Compression in NoC. In 2018 International SoC Design Conference (ISOCC). IEEE, 269--270.
[13]
Young-Bae Kim et al. 2011. Bi-layered RRAM with unlimited endurance and extremely uniform switching. In VLSI Technology (VLSIT), 2011 Symposium on. IEEE, 52--53.
[14]
Sparsh Mittal et al. 2015. A Survey of Architectural Approaches for Managing Embedded DRAM and Non-Volatile On-Chip Caches. IEEE Transactions on Parallel and Distributed Systems (2015), 14.
[15]
Moinuddin K Qureshi et al. 2011. Phase change memory: From devices to systems. Synthesis Lectures on Computer Architecture 6, 4 (2011), 1--134.
[16]
Khushboo Rani and Hemangee K Kapoor. 2019. Write-variation aware alternatives to replace SRAM buffers with non-volatile buffers in on-chip interconnects. IET Computers & Digital Techniques 13, 6 (2019), 481--492.
[17]
Khushboo Rani and Hemangee K Kapoor. 2019. Write Variation Aware Buffer Assignment for Improved Lifetime of Non-Volatile Buffers in On-Chip Interconnects. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27, 9 (2019), 2191--2204.
[18]
Clinton W Smullen et al. 2011. Relaxing Non-Volatility for Fast and Energy-Efficient STT-RAM Caches. In High Performance Computer Architecture (HPCA), 2011 IEEE 17th International Symposium on. IEEE, 50--61.
[19]
YJ Song et al. 2018. Demonstration of Highly Manufacturable STT-MRAM Embedded in 28nm Logic. In 2018 IEEE International Electron Devices Meeting (IEDM). IEEE, 18--2.
[20]
Chen Sun et al. 2012. DSENT-A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling. In Networks on Chip (NoCS), 2012 Sixth IEEE/ACM International Symposium on. IEEE, 201--210.
[21]
Jue Wang et al. 2013. i 2 WAP: Improving Non-Volatile Cache Lifetime by Reducing Inter-and Intra-set Write Variations. In High Performance Computer Architecture (HPCA2013), 2013 IEEE 19th International Symposium on. IEEE, 234--245.
[22]
Ying Wang et al. 2016. DISCO: A low overhead in-network data compressor for energy-efficient chip multi-processors. In 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, 1--6.
[23]
Jia Zhan et al. 2014. NoΔ: Leveraging delta compression for end-to-end memory access in NoC based multicores. In 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, 586--591.
[24]
Jia Zhan et al. 2016. Hybrid Drowsy SRAM and STT-RAM Buffer Designs for Dark-Silicon-Aware NoC. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24, 10 (2016), 3041--3054.
[25]
Ping Zhou et al. 2009. Frequent value compression in packet-based NoC architectures. In 2009 Asia and South Pacific Design Automation Conference. IEEE, 13--18.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ISLPED '20: Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design
August 2020
263 pages
ISBN:9781450370530
DOI:10.1145/3370748
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IEEE CAS

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 10 August 2020

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. buffer
  2. leakage power
  3. lifetime
  4. network-on-chip
  5. spin-transfer torque RAM (STT-RAM)

Qualifiers

  • Research-article

Conference

ISLPED '20
Sponsor:

Acceptance Rates

Overall Acceptance Rate 398 of 1,159 submissions, 34%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)7
  • Downloads (Last 6 weeks)1
Reflects downloads up to 14 Sep 2024

Other Metrics

Citations

Cited By

View all

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media