skip to main content
research-article

Exact Logic and Fault Simulation in Presence of Unknowns

Published: 23 June 2014 Publication History

Abstract

Logic and fault simulation are essential techniques in electronic design automation. The accuracy of standard simulation algorithms is compromised by unknown or X-values. This results in a pessimistic overestimation of X-valued signals in the circuit and a pessimistic underestimation of fault coverage.
This work proposes efficient algorithms for combinational and sequential logic as well as for stuck-at and transition-delay fault simulation that are free of any simulation pessimism in presence of unknowns. The SAT-based algorithms exactly classifiy all signal states. During fault simulation, each fault is accurately classified as either undetected, definitely detected, or possibly detected.
The pessimism with respect to unknowns present in classic algorithms is thoroughly investigated in the experimental results on benchmark circuits. The applicability of the proposed algorithms is demonstrated on larger industrial circuits. The results show that, by accurate analysis, the number of detected faults can be significantly increased without increasing the test-set size.

References

[1]
K. J. Antreich and M. H. Schulz. 1987. Accelerated fault simulation and fault grading in combinational circuits. IEEE Trans. CAD Integr. Circ. Syst. 6, 5, 704--712.
[2]
B. Becker, M. Keim, and R. Krieger. 1999. Hybrid fault simulation for synchronous sequential circuits. J. Electron. Test. Theory Appl. 15, 3, 219--238.
[3]
R. E. Bryant. 1986. Graph-based algorithms for boolean function manipulation. IEEE Trans. Comput. 35, 8, 677--691.
[4]
J. L. Carter, B. K. Rosen, G. L. Smith, and V. Pitchumani. 1989. Restricted symbolic evaluation is fast and useful. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'89). 38--41.
[5]
H. P. Chang and J. A. Abraham. 1987. The complexity of accurate logic simulation. In Proceedings of the IEEE International Conference on Computer Aided Design (ICCAD'87). 404--407.
[6]
H. Chou, K. Chang, and S. Kuo. 2010. Accurately handle don't-care conditions in high-level designs and application for reducing initialized registers. IEEE Trans. CAD Integr. Circ. Syst. 29, 4, 646--651.
[7]
S. Hillebrecht, M. A. Kochte, H.-J. Wunderlich, and B. Becker. 2012. Exact stuck-at fault classification in presence of unknowns. In Proceedings of the 17th IEEE European Test Symposium (ETS'12). 98--103.
[8]
A. Jain, V. Boppana, R. Mukherjee, J. Jain, M. Fujita, and M. Hsiao. 2000. Testing, verification, and diagnosis in the presence of unknowns. In Proceedings of the IEEE VLSI Test Symposium (VTS'00). 263--268.
[9]
S. Kajihara, K. Saluja, and S. M. Reddy. 2004. Enhanced 3-valued logic/fault simulation for full scan circuits using implicit logic values. In Proceeding of the IEEE European Test Symposium (ETS'04). 108--113.
[10]
S. Kang and S. A. Szygenda. 2003. Accurate logic simulation by overcoming the unknown value propagation problem. Simul. 79, 2, 59--68.
[11]
M. Keim, B. Becker, and B. Stenner. 1996. On the (non-) resetability of synchronous sequential circuits. In Proceedings of the IEEE VLSI Test Symposium (VTS'96). 240--245.
[12]
M. A. Kochte, S. Kundu, K. Miyase, X. Wen, and H.-J. Wunderlich. 2011. Efficient bdd-based fault simulation in presence of unknown values. In Proceedings of the 20th IEEE Asian Test Symposium (ATS'11). IEEE Computer Society, 383--388.
[13]
M. A. Kochte and H.-J. Wunderlich. 2011. SAT-based fault coverage evaluation in the presence of unknown values. In Proceedings of the Design, Automation and Test in Europe Conference (DATE'11). IEEE Computer Society, 1--6.
[14]
S. Kundu, I. Nair, L. Huisman, and V. Iyengar. 1991. Symbolic implication in test generation. In Proceedings of the Conference on European Design Automation (DATE'91). IEEE Computer Society Press, 492--496.
[15]
H. K. Lee and D. S. Ha. 1991. An efficient, forward fault simulation algorithm based on the parallel pattern single fault propagation. In Proceedings of the International Test Conference (ITC'91). IEEE Computer Society, 946--955.
[16]
S. Mitra and K. S. Kim. 2004. X-compact: An efficient response compaction technique. IEEE Trans. CAD Integr. Circ. Syst. 23, 3, 421--432.
[17]
M. Naruse, I. Pomeranz, S. M. Reddy, and S. Kundu. 2003. On-chip compression of output responses with unknown values using lfsr reseeding. In Proceedings of the IEEE International Test Conference (ITC'03). IEEE Computer Society, 1060--1068.
[18]
A. Ramdas and O. Sinanoglu. 2012. Toggle-masking scheme for x-filtering. In Proceedings of the IEEE European Test Symposium (ETS'12). IEEE Computer Society, 1--6.
[19]
E. M. Rudnick, J. H. Patel, and I. Pomeranz. 1996. On potential fault detection in sequential circuits. In Proceedings of the IEEE International Test Conference (ITC'96). IEEE Computer Society, 142--149.
[20]
J. Savir, and S. Patil. 1993. Scan-based transition test. IEEE Trans. CAD Integr. Circ. Syst. 12, 8, 1232--1241.
[21]
J. Savir and S. Patil. 1994. On broad-side delay test. In Proceedings of the IEEE VLSI Test Symposium (VTS'94). 284--290.
[22]
C. Scholl and B. Becker. 2001. Checking equivalence for partial implementations. In Proceedings of the Design Automation Conference (DAC'01). ACM Press, New York, 238--243.
[23]
T. Schubert, M. Lewis, and B. Becker. 2010. Antom—Solver description. SAT race. http://baldur.iti.uka.de/sat-race-2010/descriptions/solver_12.pdf.
[24]
Y. Tang, H. J. Wunderlich, P. Engelke, I. Polian, B. Becker, J. Schloffel, F. Hapke, and M. Wittke. 2006. X-masking during logic bist and its impact on defect coverage. IEEE Trans. VLSI Syst. 14, 2, 193--202.
[25]
G. S. Tseitin. 1968. On the complexity of derivation in propositional calculus. http://www.decision-procedures.org/handouts/Tseitin70.pdf.
[26]
M. Turpin. 2003. The dangers of living with an x (bugs hidden in your verilog). http://www.arm.com/files/pdf/Verilog_X_Bugs.pdf.
[27]
E. G. Ulrich and T. Baker. 1988. The concurrent simulation of nearly identical digital networks. In Papers on Twenty-Five years of Electronic Design Automation (25 years of DAC). ACM Press, New York, 318--323.
[28]
J. A. Waicukauski, E. B. Eichelberger, D. O. Forlenza, E. Lindbloom, and T. Mccarthy. 1985. Fault simulation for structured VLSI. VLSI Syst. Des. 6, 12, 20--32.
[29]
C. Wilson, D. Dill, and R. Bryant. 2000. Symbolic simulation with approximate values. In Proceedings of the 3rd International Conference on Formal Methods in Computer-Aided Design. W. Hunt and S. Johnson, Eds., Lecture Notes in Computer Science, vol. 1954, Springer, 507--522.
[30]
P. Wohl, J. A. Waicukauski, and F. Neuveux. 2008. Increasing scan compression by using x-chains. In Proceedings of the IEEE International Test Conference (ITC'08). IEEE Computer Society, 1--10.

Cited By

View all

Index Terms

  1. Exact Logic and Fault Simulation in Presence of Unknowns

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 19, Issue 3
      June 2014
      257 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/2634048
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 23 June 2014
      Accepted: 01 January 2014
      Revised: 01 October 2013
      Received: 01 May 2013
      Published in TODAES Volume 19, Issue 3

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. SAT
      2. Unknown values
      3. exact fault simulation
      4. exact logic simulation
      5. simulation pessimism

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)4
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 15 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all

      View Options

      Get Access

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media