skip to main content
10.1145/3508352.3549407acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

EI-MOR: A Hybrid Exponential Integrator and Model Order Reduction Approach for Transient Power/Ground Network Analysis

Published: 22 December 2022 Publication History

Abstract

Exponential integrator (EI) method has been proved to be an effective technique to accelerate large-scale transient power/ground network analysis. However, EI requires the inputs to be piece-wise linear (PWL) in one step, which greatly limits the step size when the inputs are poorly aligned. To address this issue, in this work we first elucidate with mathematical proof that EI, when used together with the rational Krylov subspace, is equivalent to performing a moment-matching model order reduction (MOR) with single input in each time step, then advancing the reduced system using EI in the same step. Based on this equivalence, we next devise a hybrid method, EI-MOR, to combine the usage of EI and MOR in the same transient simulation. A majority group of well-aligned inputs are still treated by EI as usual, while a few misaligned inputs are selected to be handled by a MOR process producing a reduced model that works for arbitrary inputs. Therefore the step size limitation imposed by the misaligned inputs can be largely alleviated. Numerical experiments are conducted to demonstrate the efficacy of the proposed method.

References

[1]
A. H. Al-Mohy and N. J. Higham. 2011. Computing the Action of the Matrix Exponential, with an Application to Exponential Integrators. SIAM Journal on Scientific Computing 33, 2 (2011), 488--511.
[2]
Christopher Beattie, Serkan Gugercin, and Volker Mehrmann. 2017. Model reduction for systems with inhomogeneous initial conditions. Systems & Control Letters 99 (2017), 99--106.
[3]
Pengwen Chen, Chung-Kuan Cheng, Dongwon Park, and Xinyuan Wang. 2018. Transient Circuit Simulation for Differential Algebraic Systems using Matrix Exponential. In 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 1--6.
[4]
Quan Chen. 2020. A Robust Exponential Integrator Method for Generic Nonlinear Circuit Simulation. In 2020 57th ACM/IEEE Design Automation Conference (DAC). 1--6.
[5]
Quan Chen. 2022. EI-NK: A Robust Exponential Integrator Method With Singularity Removal and Newton-Raphson Iterations for Transient Nonlinear Circuit Simulation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 41, 6 (2022), 1693--1703.
[6]
Quan Chen, W. Schoenmaker, Shih-Hung Weng, Chung-Kuan Cheng, Guan-Hua Chen, Li-Jun Jiang, and Ngai Wong. 2012. A fast time-domain EM-TCAD coupled simulation framework via matrix exponential. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 422--428.
[7]
Q. Chen, S. Weng, and C. Cheng. 2012. A Practical Regularization Technique for Modified Nodal Analysis in Large-Scale Time-Domain Circuit Simulation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31, 7 (July 2012), 1031--1040.
[8]
Tanja Göckler. 2014. Rational Krylov subspace methods for phi-functions in exponential integrators. Ph.D. Dissertation. Karlsruhe, Karlsruher Institut für Technologie (KIT), Diss., 2014.
[9]
Nicholas J. Higham. 2005. The Scaling and Squaring Method for the Matrix Exponential Revisited. SIAM J. Matrix Anal. Appl. 26, 4 (April 2005), 1179--1193.
[10]
Sani R Nassif. 2008. Power grid analysis benchmarks. In 2008 Asia and South Pacific Design Automation Conference. IEEE, 376--381.
[11]
Altan Odabasioglu, Mustafa Celik, and Lawrence T Pileggi. 1998. PRIMA: Passive reduced-order interconnect macromodeling algorithm. IEEE Transactions on computer-aided design of integrated circuits and systems 17, 8 (1998), 645--654.
[12]
Yousef Saad. 1992. Analysis of some Krylov subspace approximations to the matrix exponential operator. SIAM J. Numer. Anal. 29, 1 (1992), 209--228.
[13]
Behnam Salimbahrami and Boris Lohmann. 2006. Order reduction of large scale second-order systems using Krylov subspace methods. Linear Algebra Appl. 415, 2--3 (2006), 385--405.
[14]
Giuseppe Venturini. 2015. Ahkab: an open-source SPICE-like interactive circuit simulator. https://ahkab.readthedocs.io/en/latest/
[15]
Shih-Hung Weng, Quan Chen, and Chung-Kuan Cheng. 2012. Time-Domain Analysis of Large-Scale Circuits by Matrix Exponential Method With Adaptive Control. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31, 8 (2012), 1180--1193.
[16]
Shih-Hung Weng, Quan Chen, Ngai Wong, and Chung-Kuan Cheng. 2012. Circuit simulation via matrix exponential method for stiffness handling and parallel processing. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 407--414.
[17]
Hao Zhuang, Shih-Hung Weng, and Chung-Kuan Cheng. 2013. Power Grid Simulation using Matrix Exponential Method with Rational Krylov Subspaces. In IEEE 9th International Conference on ASIC (ASICON). IEEE, 369--372.
[18]
Hao Zhuang, Shih-Hung Weng, Jeng-Hau Lin, and Chung-Kuan Cheng. 2014. MATEX: A Distributed Framework for Transient Simulation of Power Distribution Networks. In IEEE/ACM Design Automation Conference (DAC). IEEE, 81:1--81:6.
[19]
Hao Zhuang, Wenjian Yu, Ilgweon Kang, Xinan Wang, and Chung-Kuan Cheng. 2015. An Algorithmic Framework for Efficient Large-scale Circuit Simulation Using Exponential Integrators. In IEEE/ACM Design Automation Conference (DAC). IEEE, 163:1--163:6.
[20]
H. Zhuang, W. Yu, S. Weng, I. Kang, J. Lin, X. Zhang, R. Coutts, and C. Cheng. 2016. Simulation Algorithms With Exponential Integration for Time-Domain Analysis of Large-Scale Power Delivery Networks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, 10 (Oct 2016), 1681--1694.

Cited By

View all

Index Terms

  1. EI-MOR: A Hybrid Exponential Integrator and Model Order Reduction Approach for Transient Power/Ground Network Analysis
          Index terms have been assigned to the content through auto-classification.

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image ACM Conferences
          ICCAD '22: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design
          October 2022
          1467 pages
          ISBN:9781450392174
          DOI:10.1145/3508352
          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Sponsors

          In-Cooperation

          • IEEE-EDS: Electronic Devices Society
          • IEEE CAS
          • IEEE CEDA

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          Published: 22 December 2022

          Permissions

          Request permissions for this article.

          Check for updates

          Author Tags

          1. exponential integrator
          2. model order reduction
          3. power/ground network
          4. transient simulation

          Qualifiers

          • Research-article

          Funding Sources

          Conference

          ICCAD '22
          Sponsor:
          ICCAD '22: IEEE/ACM International Conference on Computer-Aided Design
          October 30 - November 3, 2022
          California, San Diego

          Acceptance Rates

          Overall Acceptance Rate 457 of 1,762 submissions, 26%

          Upcoming Conference

          ICCAD '24
          IEEE/ACM International Conference on Computer-Aided Design
          October 27 - 31, 2024
          New York , NY , USA

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)27
          • Downloads (Last 6 weeks)2
          Reflects downloads up to 14 Sep 2024

          Other Metrics

          Citations

          Cited By

          View all

          View Options

          Get Access

          Login options

          View options

          PDF

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media