skip to main content
research-article

Optimal Don’t Care Filling for Minimizing Peak Toggles During At-Speed Stuck-At Testing

Published: 31 August 2017 Publication History

Abstract

Due to the increase in manufacturing/environmental uncertainties in the nanometer regime, testing digital chips under different operating conditions becomes mandatory. Traditionally, stuck-at tests were applied at slow speed to detect structural defects and transition fault tests were applied at-speed to detect delay defects. Recently, it was shown that certain cell-internal defects can only be detected using at-speed stuck-at testing. Stuck-at test patterns are power hungry, thereby causing excessive voltage droop on the power grid, delaying the test response, and finally leading to false delay failures on the tester. This motivates the need for peak power minimization during at-speed stuck-at testing. In this article, we use input toggle minimization as a means to minimize a circuit’s power dissipation during at-speed stuck-at testing under the Combinational State Preservation scan (CSP-scan) Design-For-Testability (DFT) scheme. For circuits whose test sets are dominated by don’t cares, this article maps the problem of optimal X-filling for peak input toggle minimization to a variant of the interval coloring problem and proposes a Dynamic Programming (DP) algorithm (DP-fill) for the same along with a theoretical proof for its optimality. For circuits whose test sets are not dominated by don’t cares, we propose a max scatter Hamiltonian path algorithm, which ensures that the ordering is done such that the don’t cares are evenly distributed in the final ordering of test cubes, thereby leading to better input toggle savings than DP-fill. The proposed algorithms, when experimented on ITC99 benchmarks, produced peak power savings of up to 48% over the best-known algorithms in literature. We have also pruned the solutions thus obtained using Greedy and Simulated Annealing strategies with iterative 1-bit neighborhood to validate our idea of optimal input toggle minimization as an effective technique for minimizing peak power dissipation during at-speed stuck-at testing.

References

[1]
N. Ahmed, M. Tehranipoor, and V. Jayaram. 2006. Timing-based delay test for screening small delay defects. In Design Automation Conference. ACM/IEEE, 320--325.
[2]
S. Almukhaizim et al. 2008. Peak power reduction through dynamic partitioning of scan chains. In International Test Conference. IEEE, 1--10.
[3]
Esther M. Arkin et al. 1997. On the maximum scatter TSP. In Symposium on Discrete Algorithms. ACM-SIAM, 211--220.
[4]
Fang Bao et al. 2013. Efficient pattern generation for small-delay defects using selection of critical faults. Journal of Electronic Testing 29, 1 (2013), 35--48.
[5]
Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy. 2004. First level hold: A novel low-overhead delay fault testing technique. In Defect and Fault Tolerance. IEEE, 314--315.
[6]
Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy. 2005. A novel low-overhead delay testing technique for arbitrary two-pattern test application. In Design Automation and Test in Europe. IEEE, 1136--1141.
[7]
S. Bhunia et al. 2005. Low-power scan design using first-level supply gating. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13, 3 (2005), 384--395.
[8]
V. Dabholkar et al. 1998. Techniques for minimizing power dissipation in scan and combinational circuits during test application. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 17, 12 (1998), 1325--1333.
[9]
B. Dervisoglu and G. Stong. 1991. Design for testability: Using scanpath techniques for path-delay test and measurement. In International Test Conference. IEEE, 365--374.
[10]
V. R. Devanathan, C. P. Ravikumar, and V. Kamakoti. 2007a. PMScan: A power-managed scan for simultaneous reduction of dynamic and leakage power during scan test. In International Test Conference. IEEE, Paper 13.2.
[11]
V. R. Devanathan et al. 2007b. A stochastic pattern generation and optimization framework for variation-tolerant, power-safe scan test. In International Test Conference. IEEE, Paper 13.1.
[12]
V. R. Devanathan et al. 2007c. Glitch-aware pattern generation and optimization framework for power-safe scan test. In VLSI Test Symposium. IEEE, 167--172.
[13]
S. Gerstendorfer and H. J. Wunderlich. 1999. Minimized power consumption for scan-based BIST. In International Test Conference. IEEE, 77--84.
[14]
P. Girard, N. Nicolici, and X. Wen. 2009. Power-Aware Testing and Test of Low Power Design. Springer. 978-1-4419-0927-5
[15]
P. Girard et al. 1998. Reducing power consumption during test application by test vector ordering. In International Symposium on Circuits and Systems. IEEE, 296--299.
[16]
P. Girard et al. 1999. Circuit partitioning for low power BIST design with minimized peak power consumption. In Asian Test Symposium. IEEE.
[17]
S. K. Goel et al. 2010. Circuit topology-based test pattern generation for small-delay defects. In Asian Test Symposium. IEEE, 307--312.
[18]
John Larusic, Abraham P. Punnen, and Eric Aubanel. 2012. Experimental analysis of heuristics for the bottleneck traveling salesman problem. Journal of Heuristics 18, 3 (June 2012), 473--503.
[19]
Kuen-Jong Lee et al. 2000. Peak-power reduction for multiple-scan circuits during test application. In Asian Test Symposium. IEEE, 453--458.
[20]
Xijiang Lin et al. 2008. Test power reduction by blocking scan cell outputs. In Asian Test Symposium. IEEE, 329--336.
[21]
X. Liu. 2004. ATPG and DFT Algorithms for Delay Fault Testing. Ph.D. Dissertation. Virginia Polytechnic Institute and State University, Virginia.
[22]
E. J. McCluskey and Chao-Wen Tseng. 2000. Stuck-fault tests vs. actual defects. In International Test Conference. IEEE, 336--342.
[23]
P. Pant et al. 2010. Lessons from at-speed scan deployment on an Intel®Itanium® microprocessor. In International Test Conference. IEEE, 1--8.
[24]
N. Parimi and Xiaoling Sun. 2004. Toggle-masking for test-per-scan VLSI circuits. In International Symposium on Defect and Fault Tolerance in VLSI Systems. IEEE, 332--338.
[25]
I. Pomeranz. 2015. Static test compaction for low-power test sets by increasing the switching activity. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23, 9 (2015), 1936--1940.
[26]
S. Potluri. 2015. Power: Its Manifestations During Digital Systems Testing. Ph.D. Dissertation. Indian Institute of Technology Madras, Chennai, India.
[27]
S. Potluri, S. T. Adireddy, C. Rajamanikkam, and S. Balachandran. 2013. LPScan: An algorithm for supply scaling and switching activity minimization during test. In International Conference on Computer Design. IEEE, 463--466.
[28]
S. Potluri et al. 2015. DFT assisted techniques for peak launch-to-capture power reduction during launch-on-shift at-speed testing. ACM Transaction on Design Automation of Electronic Systems (TODAES) 21, 1 (2015).
[29]
K. Sankaralingam and N. A. Touba. 2002. Controlling peak power during scan testing. In VLSI Test Symposium. IEEE, 153--159.
[30]
A. Satya Trinadh et al. 2013. An efficient heuristic for peak capture power minimization during scan-based test. Journal of Low Power Electronics 9, 2 (2013), 264--274.
[31]
A. Satya Trinadh et al. 2014. XStat: Statistical X-filling algorithm for peak capture power reduction in scan tests. Journal of Low Power Electronics 10, 1 (2014), 107--115.
[32]
Jayashree Saxena et al. 2003. A case study of IR-Drop in structured at-speed testing. In International Test Conference. 1098--1104.
[33]
Vlado Vorisek et al. 2004. At-speed testing of SOC ICs. In Design, Automation and Test in Europe. IEEE, 30120.
[34]
Douglas B. West. 2000. Introduction to Graph Theory. Prentice Hall.
[35]
F. Wu et al. 2011. Power reduction through X-filling of transition fault test vectors for LOS testing. In International Conference on Design Technology of Integrated Systems in Nanoscale Era. IEEE, 1--6.
[36]
C. Yao et al. 2011. Power and thermal constrained test scheduling under deep submicron technologies. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 30, 2 (2011), 317--322.
[37]
Mahmut Yilmaz et al. 2010. Test-pattern selection for screening small-delay defects in very-deep submicrometer integrated circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 29, 5 (2010), 760--773.

Cited By

View all

Index Terms

  1. Optimal Don’t Care Filling for Minimizing Peak Toggles During At-Speed Stuck-At Testing

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 23, Issue 1
      January 2018
      279 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/3129756
      • Editor:
      • Naehyuck Chang
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 31 August 2017
      Accepted: 01 April 2017
      Revised: 01 March 2017
      Received: 01 August 2016
      Published in TODAES Volume 23, Issue 1

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. At-speed stuck-at testing
      2. don’t care filling
      3. dynamic programming
      4. greedy pruning
      5. max scatter Hamiltonian path algorithm
      6. peak test power
      7. simulated annealing
      8. test cube ordering

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)4
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 24 Dec 2024

      Other Metrics

      Citations

      Cited By

      View all

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media