skip to main content
research-article

Thermal and Performance Efficient On-Chip Surface-Wave Communication for Many-Core Systems in Dark Silicon Era

Published: 22 March 2022 Publication History

Abstract

Due to the exceedingly high integration density of VLSI circuits and the resulting high power density, thermal integrity became a major challenge. One way to tackle this problem is Dark silicon. Dark silicon is the amount of circuitry in a chip that is forced to switch off to insure thermal integrity of the system and prevent permanent thermal-related faults. In many-core systems, the presence of Dark Silicon adds new design constraints, in general, and on the communication fabric of such systems, in particular. This is due to the fact that system-level thermal-management systems tend to increase the distance between high activity cores to insure better thermal balancing and integrity. Consequently, a designing dilemma is created where a compromise has to be made between interconnect performance and power consumption. This study proposes a hybrid wire and surface-wave interconnect (SWI) based Network-on-Chip (NoC) to address the dark silicon challenge. Through efficient utilization of one-hop cross the chip communication SWI links, the proposed architecture is able to offer an efficient and scalable communication platform in terms of performance, power, and thermal impact. As a result, evaluations of the proposed architecture compared to baseline architecture under dark silicon scenarios show reduction in maximum temperature by 15∘C, average delay up to 73.1%, and energy-saving up to ∼3X. This study explores the promising potential of the proposed architecture in extending the utilization wall for current and future many-core systems in dark silicon era.

References

[1]
Arghavan Asad, Ozcan Ozturk, Mahmood Fathy, and Mohammad Reza Jahed-Motlagh. 2017. Optimization-based power and thermal management for dark silicon aware 3D chip multiprocessors using heterogeneous cache hierarchy. Microprocessors and Microsystems 51 (2017), 76–98. DOI:DOI:
[2]
K. Banerjee and A. Mehrotra. 2002. A power-optimal repeater insertion methodology for global interconnects in nanometer designs. Electron Devices, IEEE Transactions on 49, 11 (Nov. 2002), 2001–2007. DOI:DOI:
[3]
P. Baniya and K. L. Melde. 2019. Switched-beam endfire planar array with integrated 2-D butler matrix for 60 GHz chip-to-chip space-surface wave communications. IEEE Antennas and Wireless Propagation Letters 18, 2 (2019), 236–240. DOI:DOI:
[4]
Tobias Bjerregaard and Shankar Mahadevan. 2006. A survey of research and practices of network-on-chip. ACM Computing Surveys 38, 1, Article 1 (June 2006), 1–es. DOI:DOI:
[5]
Shan Cao, Zoran Salcic, Zhaolin Li, Shaojun Wei, and Yingtao Ding. 2016. Temperature-aware multi-application mapping on network-on-chip based many-core systems. Microprocessors and Microsystems 46 (2016), 149–160. DOI:DOI:
[6]
A. Carpenter, Jianyun Hu, Jie Xu, M. Huang, Hui Wu, and Peng Liu. 2012. Using transmission lines for global on-chip communication. IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2, 2 (June 2012), 183–193. DOI:DOI:
[7]
Vincenzo Catania, Andrea Mineo, Salvatore Monteleone, Maurizio Palesi, and Davide Patti. 2016. Cycle-accurate network on chip simulation with noxim. ACM Transactions on Modeling and Computer Simulation 27, 1, Article 4 (Aug. 2016), 25 pages. DOI:DOI:
[8]
M.-C.F. Chang, V.P. Roychowdhury, Liyang Zhang, Hyunchol Shin, and Yongxi Qian. 2001. RF/wireless interconnect for inter- and intra-chip communications. Proceedings of the IEEE 89, 4 (Apr. 2001), 456–466. DOI:DOI:
[9]
M. C. F. Chang, J. Cong, A. Kaplan, Chunyue Liu, M. Naik, J. Premkumar, G. Reinman, E. Socher, and Sai-Wang Tam. 2008. Power reduction of CMP communication networks via RF-interconnects. In Proceedings of the 2008 41st IEEE/ACM International Symposium on Microarchitecture. 376–387. DOI:
[10]
H. Cheng, J. Zhan, J. Zhao, Y. Xie, J. Sampson, and M. J. Irwin. 2015. Core vs. uncore: The heart of darkness. In Proceedings of the 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC). 1–6. DOI:DOI:
[11]
Ge-Ming Chiu. 2000. The odd-even turn model for adaptive routing. IEEE Transactions on Parallel and Distributed Systems 11, 7 (Jul. 2000), 729–738. DOI:DOI:
[12]
Nizar Dahir, Ra’ed Al-Dujaily, Terrence Mak, and Alex Yakovlev. 2014. Thermal optimization in network-on-chip-based 3D chip multiprocessors using dynamic programming networks. ACM Transactions on Embedded Computing Systems 13, 4s, Article 139 (April 2014), 25 pages. DOI:DOI:
[13]
Nizar Dahir, Terrence S. T. Mak, Fei Xia, and Alex V. Yakovlev. 2012. Minimizing power supply noise through harmonic mappings in networks-on-chip. In Proceedings of the 8th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS’12). ACM, New York, NY, 113–122. DOI:DOI:
[14]
Nizar Dahir, Ammar Karkar, Maurizio Palesi, Terrence Mak, and Alex Yakovlev. 2021. Power density aware application mapping in mesh-based network-on-chip architecture: An evolutionary multi-objective approach. Integration 81 (2021), 342–353. DOI:DOI:
[15]
Antonio Franques, Apostolos Kokolis, Sergi Abadal, Vimuth Fernando, Sasa Misailovic, and Josep Torrellas. 2021. WiDir: A wireless-enabled directory cache coherence protocol. In Proceedings of the 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA). 304–317. DOI:DOI:
[16]
Daniel Greenfield, Arnab Banerjee, Jeong-Gun Lee, and Simon Moore. 2007. Implications of rent’s rule for NoC design and its fault-tolerance. In Proceedings of the 1st International Symposium on Networks-on-Chip (NOCS’07). IEEE Computer Society, Washington, DC, 283–294. DOI:DOI:
[17]
J. Hendry. 2010. Isolation of the Zenneck surface wave. In Proceedings of the 2010 Loughborough Antennas and Propagation Conference (LAPC). 613 –616. DOI:DOI:
[18]
N. E. Jerger, Li-Shiuan Peh, and M. Lipasti. 2008. Virtual circuit tree multicasting: A case for on-chip hardware multicast support. In Proceedings of the 35th International Symposium on Computer Architecture. 229–240. DOI:DOI:
[19]
A. B. Kahng, Bin Li, Li-Shiuan Peh, and K. Samadi. 2012. ORION 2.0: A power-area simulator for interconnection networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 20, 1 (Jan. 2012), 191–196. DOI:DOI:
[20]
A. Karkar, T. Mak, N. Dahir, R. Al-Dujaily, K. Tong, and A. Yakovlev. 2018. Network-on-chip multicast architectures using hybrid wire and surface-wave interconnects. IEEE Transactions on Emerging Topics in Computing 6, 3 (July 2018), 357–369. DOI:DOI:
[21]
A. Karkar, T. Mak, K. Tong, and A. Yakovlev. 2016. A survey of emerging interconnects for on-chip efficient multicast and broadcast in many-cores. IEEE Circuits and Systems Magazine 16, 1 (2016), 58–72. DOI:DOI:
[22]
A. J. Karkar, J. E. Turner, K. Tong, R. AI-Dujaily, T. Mak, A. Yakovlev, and Fei Xia. 2013. Hybrid wire-surface wave interconnects for next-generation networks-on-chip. Computers Digital Techniques, IET 7, 6 (Nov. 2013), 294–303. DOI:DOI:
[23]
Ammar Jallawi Mahmood Karkar. 2016. Interconnects Architectures for Many-Core Era Using Surface-Wave Communication. Ph.D. Dissertation. Newcastle University.
[24]
Mengquan Li, Weichen Liu, Luan H. K. Duong, Peng Chen, Lei Yang, and Chunhua Xiao. 2021. Contention-aware routing for thermal-reliable optical networks-on-chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 40, 2 (2021), 260–273. DOI:DOI:
[25]
W. Liu, L. Yang, W. Jiang, L. Feng, N. Guan, W. Zhang, and N. Dutt. 2018. Thermal-aware task mapping on dynamically reconfigurable network-on-chip based multiprocessor system-on-chip. IEEE Transactions on Computers 67, 12 (Dec. 2018), 1818–1834. DOI:DOI:
[26]
D. Patti. 2020. Noxim: The NoC Simulator. (2020). Retrieved 1 Dec., 2020 from https://github.com/davidepatti/noxim.
[27]
David M Pozar. 2009. Microwave Engineering. John Wiley & Sons.
[28]
Pradip Kumar Sahu and Santanu Chattopadhyay. 2013. A survey on application mapping strategies for network-on-chip design. Journal of Systems Architecture 59, 1 (2013), 60–76.
[29]
P. Salihundam, S. Jain, T. Jacob, S. Kumar, V. Erraguntla, Y. Hoskote, S. Vangal, G. Ruhl, and N. Borkar. 2011. A 2 Tb/s 6 \(\times\) 4 mesh network for a single-chip cloud computer with DVFS in 45 nm CMOS. IEEE Journal of Solid-State Circuits 46, 4 (April 2011), 757–766. DOI:DOI:
[30]
Semiconductor Industry Association. 2011. ITRS: International Technology Roadmap for Semiconductors. Retrieved 1 Dec., 2020 from http://www.itrs2.net/itrs-reports.html.
[31]
M. Shafique and S. Garg. 2017. Computing in the dark silicon era: Current trends and research challenges. IEEE Design Test 34, 2 (April 2017), 8–23. DOI:DOI:
[32]
M. Shafique, S. Garg, T. Mitra, S. Parameswaran, and J. Henkel. 2014. Dark silicon as a challenge for hardware/software co-design. In Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS). 1–10. DOI:DOI:
[33]
J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers. 2004. The impact of technology scaling on lifetime reliability. In Proceedings of the 2004 International Conference on Dependable Systems and Networks. 177–186. DOI:DOI:
[34]
M. B. Taylor. 2013. A landscape of the new dark silicon design regime. IEEE Micro 33, 5 (Sep. 2013), 8–19. DOI:DOI:
[35]
J. E. Turner, M. S. Jessup, and Kin-Fai Tong. 2012. A novel technique enabling the realisation of 60 GHz body area networks. In Proceedings of the 2012 Ninth International Conference on Wearable and Implantable Body Sensor Networks (BSN). 58–62. DOI:DOI:
[36]
J. Wan, K. F. Tong, and C. H. Chan. 2019. Simulation and experimental verification for a 52 GHz wideband trapped surface wave propagation system. IEEE Transactions on Antennas and Propagation 67, 4 (2019), 2158–2166.
[37]
R. West. 2021. HotSpot: Thermal Simulator. (2021). Retrieved Jan 2021 from https://github.com/uvahotspot/hotspot.
[38]
Linda Wilson. 2013. International technology roadmap for semiconductors (ITRS). Semiconductor Industry Association 1 (2013). Retrieved on 1 Dec. 2013 from http://www.itrs2.net/2013-itrs.html.
[39]
Lei Yang, Weichen Liu, Weiwen Jiang, Mengquan Li, Peng Chen, and Edwin Hsing-Mean Sha. 2017. FoToNoC: A folded torus-like network-on-chip based many-core systems-on-chip in the dark silicon era. IEEE Transactions on Parallel and Distributed Systems 28, 7 (2017), 1905–1918. DOI:DOI:
[40]
J. Zhan, J. Ouyang, F. Ge, J. Zhao, and Y. Xie. 2015. DimNoC: A dim silicon approach towards power-efficient on-chip network. In Proceedings of the 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC). 1–6. DOI:DOI:
[41]
Runjie Zhang, Mircea R Stan, and Kevin Skadron. 2015. Hotspot 6.0: Validation, acceleration and extension. University of Virginia, Tech. Rep (2015). https://www.cs.virginia.edu/skadron/Papers/HotSpot60_TR.pdf.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Journal on Emerging Technologies in Computing Systems
ACM Journal on Emerging Technologies in Computing Systems  Volume 18, Issue 3
July 2022
428 pages
ISSN:1550-4832
EISSN:1550-4840
DOI:10.1145/3508463
  • Editor:
  • Ramesh Karri
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 22 March 2022
Accepted: 01 November 2021
Revised: 01 September 2021
Received: 01 March 2021
Published in JETC Volume 18, Issue 3

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Networks-on-Chip
  2. Dark silicon
  3. surface wave
  4. many-core systems
  5. on-chip interconnects
  6. thermal reliability
  7. communication efficient
  8. multicast

Qualifiers

  • Research-article
  • Refereed

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)41
  • Downloads (Last 6 weeks)6
Reflects downloads up to 08 Feb 2025

Other Metrics

Citations

Cited By

View all

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Full Text

View this article in Full Text.

Full Text

HTML Format

View this article in HTML Format.

HTML Format

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media