skip to main content
research-article
Open access

CACF: A Novel Circuit Architecture Co-optimization Framework for Improving Performance, Reliability and Energy of ReRAM-based Main Memory System

Published: 23 May 2018 Publication History

Abstract

Emerging Resistive Random Access Memory (ReRAM) is a promising candidate as the replacement for DRAM due to its low standby power, high density, high scalability, and nonvolatility. By employing the unique crossbar structure, ReRAM can be constructed with extremely high density. However, the crossbar ReRAM faces some serious challenges in terms of performance, reliability, and energy consumption. First, ReRAM’s crossbar structure causes an IR drop problem due to wire resistance and sneak currents, which results in nonuniform access latency in ReRAM banks and reduces its reliability. Second, without access transistors in the crossbar structure, write disturbance results in serious data reliability problem. Third, the access latency, reliability, and energy use of ReRAM arrays are significantly influenced by the data patterns involved in a write operation.
To overcome the challenges of the crossbar ReRAM, we propose a novel circuit architecture co-optimization framework for improving the performance, reliability, and energy use of ReRAM-based main memory system, called CACF. The proposed CACF consists of three levels, including the circuit level, circuit architecture level, and architecture level. At the circuit level, to reduce the IR drops along bitlines, we propose a double-sided write driver design by applying write drivers along both sides of bitlines and selectively activating the write drivers. At the circuit architecture level, to address the write disturbance with low overheads, we propose a RESET disturbance detection scheme by adding disturbance reference cells and conditionally performing refresh operations. At the architecture level, a region partition with address remapping method is proposed to leverage the nonuniform access latency in ReRAM banks, and two flip schemes are proposed in different regions to optimize the data patterns involved in a write operation. The experimental results show that CACF improves system performance by 26.1%, decreases memory access latency by 22.4%, shortens running time by 20.1%, and reduces energy consumption by 21.6% on average over an aggressive baseline. Meanwhile, CACF significantly improves the reliability of ReRAM-based memory systems.

References

[1]
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, and Somayeh Sardashti. 2011. The gem5 simulator. ACM SIGARCH Comput. Archit. News 39, 2 (2011), 1--7.
[2]
G. W. Burr, K. Virwani, R. S. Shenoy, A. Padilla, M. BrightSky, E. A. Joseph, M. Lofaro, A. J. Kellock, R. S. King, and K. Nguyen. 2012. Large-scale (512kbit) integration of multilayer-ready access-devices based on mixed-ionic-electronic-conduction (MIEC) at 100% yield. In IEEE Symposium on VLSI Technology (VLSIT). 41--42.
[3]
Kevin K. Chang, Prashant J. Nair, Donghyuk Lee, Saugata Ghose, Moinuddin K. Qureshi, and Onur Mutlu. 2016. Low-cost inter-linked subarrays (LISA): Enabling fast inter-subarray data movement in DRAM. In IEEE International Symposium on High Performance Computer Architecture (HPCA). 568--580.
[4]
Kevin K. Chang, Abhijith Kashyap, Hasan Hassan, Saugata Ghose, Kevin Hsieh, Donghyuk Lee, Tianshi Li, Gennady Pekhimenko, Samira Khan, and Onur Mutlu. 2016. Understanding latency variation in modern DRAM chips: Experimental characterization, analysis, and optimization. ACM SIGMETRICS Performance Evaluation Review 44, 1 (2016), 323--336.
[5]
Yang Yin Chen, Masanori Komura, Robin Degraeve, Bogdan Govoreanu, Ludovic Goux, Andrea Fantini, Naga Raghavan, Sergiu Clima, Leqi Zhang, and Attilio Belmonte. 2013. Improvement of data retention in 1T1R RRAM cell under low operating current. In IEEE International Electron Devices Meeting (IEDM). 1--10.
[6]
Sangyeun Cho and Hyunjin Lee. 2009. Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 347--357.
[7]
Leon Chua. 1971. Memristor-the missing circuit element. IEEE Transactions on Circuit Theory 18, 5 (1971), 507--519.
[8]
Micron Corp. 2017. Micron DDR3 SDRAM Data Sheet. Retrieved from http://www.micron.com/products/dram/ddr3-sdram.
[9]
Richard Fackenthal, Makoto Kitagawa, Wataru Otsuka, Kirk Prall, Duane Mills, Keiichi Tsutsui, Jahanshir Javanifard, Kerry Tedrow, Tomohito Tsushima, and Yoshiyuki Shibahara. 2014. A 16Gb ReRAM with 200MB/s write and 1GB/s read in 27nm technology. In IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). 338--339.
[10]
Bin Gao, Yingjie Bi, Hong-Yu Chen, Rui Liu, Peng Huang, Bing Chen, Lifeng Liu, Xiaoyan Liu, Shimeng Yu, and H-S Philip Wong. 2014. Ultra-low-energy three-dimensional oxide-based electronic synapses for implementation of robust high-accuracy neuromorphic computation systems. ACS Nano 8, 7 (2014), 6998--7004.
[11]
Amirali Ghofrani, Miguel Angel Lastras-Montaño, and Kwang-Ting Cheng. 2013. Towards data reliable crossbar-based memristive memories. In IEEE International Test Conference (ITC). 1--10.
[12]
Ximeng Guan, Shimeng Yu, and H-S Philip Wong. 2012. A SPICE compact model of metal oxide resistive switching memory with variations. IEEE Electron Device Lett. 33, 10 (2012), 1405--1407.
[13]
Richard W. Hamming. 1950. Error detecting and error correcting codes. Bell Labs Tech. J. (1950), 147--160.
[14]
Miseon Han and Youngsun Han. 2016. Bit flip reduction schemes for improving PCM lifetime: A Survey. IEIE Transactions on Smart Processing and Computing 5, 5 (2016), 337--345.
[15]
John L. Henning. 2007. Performance counters and development of SPEC CPU2006. ACM SIGARCH Comput. Archit. News 35, 1 (2007), 118--121.
[16]
HP and SanDisk. 2014. The Memristor Project. Retrieved from http://www.businessweek.com/articles/2014-06-11/ with-the-machine-hp-may-have-invented-a-new-kind-of-computer.
[17]
Mu-Yue Hsiao. 1970. A class of optimal minimum odd-weight-column SEC-DED codes. IBM J. Res. Dev. 14, 4 (1970), 395--401.
[18]
Intel. 2016. Intel 3D XPoint Unveiled-The Next Breakthrough in Memory Technology. Retrieved from http://www.intel.com/content/www/us/en/architecture-and-technology/3d-xpoint-unveiled-video.html.
[19]
Engin Ipek, Thomas Moscibroda, and others. 2010. Dynamically replicated memory: Building reliable systems from nanoscale resistive memories. ACM SIGARCH Computer Architecture News, Vol. 38. ACM, 3--14.
[20]
Todd K. Moon. 2005. Error correction coding: Mathematical methods and algorithms. Ltd 750, 2 (2005), 750.
[21]
Adam N. Jacobvitz, Robert Calderbank, and Daniel J. Sorin. 2013. Coset coding to extend the lifetime of memory. In 19th IEEE International Symposium on High Performance Computer Architecture (HPCA). 222--233.
[22]
Myoungsoo Jung, John Shalf, and Mahmut Kandemir. 2013. Design of a large-scale storage-class RRAM system. In Proceedings of the 27th ACM International Conference on Supercomputing (ICS). 103--114.
[23]
Akifumi Kawahara, Ryotaro Azuma, Yuuichirou Ikeda, Ken Kawai, Yoshikazu Katoh, Yukio Hayakawa, Kiyotaka Tsuji, Shinichi Yoneda, Atsushi Himeno, and Kazuhiko Shimakawa. 2012. An 8 Mb multi-layered cross-point ReRAM macro with 443 MB/s write throughput. IEEE Journal of Solid-State Circuits 48, 1 (2013), 178--185.
[24]
Kuk-Hwan Kim, Siddharth Gaba, Dana Wheeler, JoseMCruz-Albrecht, Tahir Hussain, Narayan Srinivasa, and Wei Lu. 2011. A functional hybrid memristor crossbar-array/CMOS system for data storage and neuromorphic applications. Nano Lett. 12, 1 (2011), 389--395.
[25]
Emre Kültürsay, Mahmut Kandemir, Anand Sivasubramaniam, and Onur Mutlu. 2013. Evaluating STT-RAM as an energy-efficient main memory alternative. In IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 256--267.
[26]
Miguel Angel Lastras-Montaño, Amirali Ghofrani, and Kwang-Ting Cheng. 2016. A low-power hybrid reconfigurable architecture for resistive random-access memories. In IEEE International Symposium on High Performance Computer Architecture (HPCA). 102--113.
[27]
Benjamin C. Lee, Engin Ipek, Onur Mutlu, and Doug Burger. 2009. Architecting phase change memory as a scalable dram alternative. ACM SIGARCH Comput. Archit. News, Vol. 37. ACM, 2--13.
[28]
Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, and Onur Mutlu. 2013. Tiered-latency DRAM: A low latency and low cost DRAM architecture. In 19th IEEE International Symposium on High Performance Computer Architecture (HPCA). 615--626.
[29]
H. Y. Lee, Y. S. Chen, P. S. Chen, P. Y. Gu, Y. Y. Hsu, S. M. Wang, W. H. Liu, C. H. Tsai, S. S. Sheu, and P. C. Chiang. 2010. Evidence and solution of over-RESET problem for HfOx based resistive memory with sub-ns switching speed and high endurance. In IEEE International Electron Devices Meeting (IEDM). 19--7.
[30]
Kwang-Jin Lee, Beak-Hyung Cho, Woo-Yeong Cho, Sangbeom Kang, Byung-Gil Choi, Hyung-Rok Oh, Chang-Soo Lee, Hye-Jin Kim, Joon-Min Park, and Qi Wang. 2008. A 90 nm 1.8 V 512 Mb diode-switch PRAM with 266 MB/s read throughput. IEEE J. Solid-State Circuits 43, 1 (2008), 150--162.
[31]
Boxun Li, Peng Gu, Yi Shan, Yu Wang, Yiran Chen, and Huazhong Yang. 2015. RRAM-based analog approximate computing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34, 12 (2015), 1905--1917.
[32]
Haitong Li, Tony FWu, Subhasish Mitra, and H-S PhilipWong. 2017. Resistive RAM-centric computing: Design and modeling methodology. IEEE Transactions on Circuits and Systems I: Regular Papers 64, 9 (Sept 2017), 2263--2273.
[33]
Yanbin Li, Xin Li, Lei Ju, and Zhiping Jia. 2015. A three-stage-write scheme with flip-bit for PCM main memory. In ASP-DAC. IEEE, 328--333.
[34]
Beiye Liu, Hai Li, Yiran Chen, Xin Li, Qing Wu, and Tingwen Huang. 2015. Vortex: Variation-aware training for memristor x-bar. In 52nd ACM/EDAC/IEEE Design Automation Conference (DAC). 1--6.
[35]
Jamie Liu, Ben Jaiyen, Richard Veras, and Onur Mutlu. 2012. RAIDR: Retention-aware intelligent DRAM refresh. In ACM SIGARCH Computer Architecture News, Vol. 40. IEEE Computer Society, 1--12.
[36]
Tz Yi Liu, Tian Hong Yan, Roy Scheuerlein, Yingchang Chen, Jeffrey KoonYee Lee, Gopinath Balakrishnan, Gordon Yee, Henry Zhang, Alex Yap, and Jingwen Ouyang. 2013. A 130.7mm2 2-layer 32Gb ReRAM memory device in 24nm technology. IEEE Journal of Solid-State Circuits 49, 1 (2014), 140--153.
[37]
Shih-Lien Lu, Ying-Chen Lin, and Chia-Lin Yang. 2015. Improving DRAM latency with dynamic asymmetric subarray. In MICRO. IEEE, 255--266.
[38]
Rakan Maddah, Seyed Mohammad Seyedzadeh, and Rami Melhem. 2015. CAFO: Cost aware flip optimization for asymmetric memories. In 21st IEEE International Symposium on High Performance Computer Architecture (HPCA). 320--330.
[39]
Onur Mutlu. 2016. Rethinking memory system design. In Mobile System Technologies Workshop (MST). IEEE, 1--3.
[40]
Onur Mutlu. 2017. The rowhammer problem and other issues we may face as memory becomes denser. In DATE. IEEE, 1116--1121.
[41]
Dimin Niu, Cong Xu, Naveen Muralimanohar, Norman P. Jouppi, and Yuan Xie. 2012. Design trade-offs for high density cross-point resistive memory. In Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED). 209--214.
[42]
Dimin Niu, Qiaosha Zou, Cong Xu, and Yuan Xie. 2013. Low power multi-level-cell resistive memory design with incomplete data mapping. In 31st IEEE International Conference on Computer Design (ICCD). 131--137.
[43]
Matt Poremba and Yuan Xie. 2012. Nvmain: An architectural-level main memory simulator for emerging non-volatile memories. In ISVLSI. IEEE, 392--397.
[44]
Moinuddin K. Qureshi, Vijayalakshmi Srinivasan, and Jude A. Rivers. 2009. Scalable high performance main memory system using phase-change memory technology. ACM SIGARCH Comput. Archit. News 37, 3 (2009), 24--33.
[45]
Yoshihiro Sato, Koji Tsunoda, Kentaro Kinoshita, Hideyuki Noshiro, Masaki Aoki, and Yoshihiro Sugiyama. 2008. Sub- reset current of nickel oxide resistive memory through control of filamentary conductance by current limit of MOSFET. IEEE Trans. Electron Devices 55, 5 (2008), 1185--1191.
[46]
Stuart Schechter, Gabriel H. Loh, Karin Strauss, and Doug Burger. 2010. Use ECP, not ECC, for hard failures in resistive memories. ACM SIGARCH Comput. Archit. News 38, 141--152.
[47]
Nak Hee Seong, Dong Hyuk Woo, Vijayalakshmi Srinivasan, Jude A. Rivers, and Hsien-Hsin S. Lee. 2010. SAFER: Stuck-at-fault error recovery for memories. In Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 115--124.
[48]
Shyh-Shyuan Sheu, Meng-Fan Chang, Ku-Feng Lin, Che-Wei Wu, Yu-Sheng Chen, Pi-Feng Chiu, Chia-Chen Kuo, Yih-Shan Yang, Pei-Chia Chiang, and Wen-Pin Lin. 2011. A 4Mb embedded SLC resistive-RAM macro with 7.2 ns read-write random-access time and 160ns MLC-access capability. In IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). 200--202.
[49]
Manjunath Shevgoor, Naveen Muralimanohar, Rajeev Balasubramonian, and Yoocharn Jeon. 2015. Improving memristor memory with sneak current sharing. In 33rd IEEE International Conference on Computer Design (ICCD). 549--556.
[50]
Young Hoon Son, O. Seongil, Yuhwan Ro, Jae W. Lee, and Jung Ho Ahn. 2013. Reducing memory access latency with asymmetric DRAM bank organizations. ACM SIGARCH Comput. Archit. News 41, 380--391.
[51]
Dmitri B. Strukov, Gregory S. Snider, Duncan R. Stewart, and R. Stanley Williams. 2008. The missing memristor found. Nature 453, 7191 (2008), 80--83.
[52]
Jeffrey Stuecheli, Dimitris Kaseridis, Hillery C. Hunter, and Lizy K. John. 2010. Elastic refresh: Techniques to mitigate refresh penalties in high density memory. In 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 375--384.
[53]
N. Talati, S. Gupta, P. Mane, and S. Kvatinsky. 2016. Logic design within memristive memories using memristor-aided loGIC (MAGIC). IEEE Transactions on Nanotechnology 15, 4 (July 2016), 635--650.
[54]
Chengning Wang, Dan Feng, Jingning Liu, Wei Tong, Bing Wu, and Yang Zhang. 2017. DAWS: Exploiting crossbar characteristics for improving write performance of high density resistive memory. In ICCD. IEEE, 281--288.
[55]
Wujie Wen, Mengjie Mao, Xiaochun Zhu, Seung H Kang, Danghui Wang, and Yiran Chen. 2013. CD-ECC: Content-dependent error correction codes for combating asymmetric nonvolatile memory operation errors. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE Press, 1--8.
[56]
R. Stanley Williams. 2008. How we found the missing memristor. IEEE Spectrum 45, 12 (2008), 28--35.
[57]
Linda Wilson. 2013. International technology roadmap for semiconductors (ITRS). Semiconductor Industry Association (2013).
[58]
Cong Xu, Pai-Yu Chen, Dimin Niu, Yang Zheng, Shimeng Yu, and Yuan Xie. 2014. Architecting 3D vertical resistive memory for next-generation storage systems. In Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE Press, 55--62.
[59]
Cong Xu, Dimin Niu, Yang Zheng, Shimeng Yu, and Yuan Xie. 2015. Impact of cell failure on reliable cross-point resistive memory design. ACM Transactions on Design Automation of Electronic Systems (TODAES) 20, 4 (2015), 63.
[60]
Cong Xu, Dimin Niu, Naveen Muralimanohar, Rajeev Balasubramonian, Tao Zhang, Shimeng Yu, and Yuan Xie. 2015. Overcoming the challenges of crossbar resistive memory architectures. In 21st IEEE International Symposium on High Performance Computer Architecture (HPCA). 476--488.
[61]
G. M. Yin, F. Opt Eynde, and Willy Sansen. 1992. A high-speed CMOS comparator with 8-b resolution. IEEE J. Solid-State Circuits 27, 2 (1992), 208--211.
[62]
Hanbin Yoon, Justin Meza, Naveen Muralimanohar, Norman P. Jouppi, and Onur Mutlu. 2015. Efficient data mapping and buffering techniques for multilevel cell phase-change memories. ACM Trans. Archit. Code Optim. 11, 4 (2015), 40.
[63]
Shimeng Yu, Yi Wu, and H-S Philip Wong. 2011. Investigating the switching dynamics and multilevel capability of bipolar metal oxide resistive switching memory. Appl. Phys. Lett. 98, 10 (2011), 103514.
[64]
Jianhui Yue and Yifeng Zhu. 2013. Accelerating write by exploiting PCM asymmetries. In HPCA. IEEE, 282--293.
[65]
Hang Zhang, Nong Xiao, Fang Liu, and Zhiguang Chen. 2016. Leader: Accelerating ReRAM-based main memory by leveraging access latency discrepancy in crossbar arrays. In Proceedings of the 2016 Conference on Design, Automation 8 Test in Europe (DATE). EDA Consortium, 756--761.
[66]
Tao Zhang, Matt Poremba, Cong Xu, Guangyu Sun, and Yuan Xie. 2014. CREAM: A concurrent-refresh-aware DRAM memory architecture. In 20th IEEE International Symposium on High Performance Computer Architecture (HPCA). IEEE, 368--379.
[67]
Yang Zhang, Dan Feng, Jingning Liu, Wei Tong, Bing Wu, and Caihua Fang. 2017. A novel ReRAM-based main memory structure for optimizing access latency and reliability. In 54th ACM/EDAC/IEEE Design Automation Conference (DAC). 1--6.
[68]
Lei Zhao, Lei Jiang, Youtao Zhang, Nong Xiao, and Jun Yang. 2017. Constructing fast and energy efficient 1TnR based ReRAM crossbar memory. In 18th IEEE International Symposium on Quality Electronic Design (ISQED). 58--64.
[69]
Yang Zheng, Cong Xu, and Yuan Xie. 2015. Modeling framework for cross-point resistive memory design emphasizing reliability and variability issues. In ASP-DAC. IEEE, 112--117.
[70]
Ping Zhou, Bo Zhao, Jun Yang, and Youtao Zhang. 2009. A durable and energy efficient main memory using phase change memory technology. ACM SIGARCH Comput. Archit. News 37, 14--23.

Cited By

View all

Index Terms

  1. CACF: A Novel Circuit Architecture Co-optimization Framework for Improving Performance, Reliability and Energy of ReRAM-based Main Memory System

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Architecture and Code Optimization
        ACM Transactions on Architecture and Code Optimization  Volume 15, Issue 2
        June 2018
        251 pages
        ISSN:1544-3566
        EISSN:1544-3973
        DOI:10.1145/3212710
        Issue’s Table of Contents
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 23 May 2018
        Accepted: 01 March 2018
        Revised: 01 February 2018
        Received: 01 July 2017
        Published in TACO Volume 15, Issue 2

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. IR drop
        2. ReRAM
        3. crossbar
        4. data patterns
        5. nonuniform access latency
        6. write disturbance

        Qualifiers

        • Research-article
        • Research
        • Refereed

        Funding Sources

        • Ministry of Education, China
        • State Key Laboratory of Computer Architecture
        • Engineering Research Center of Data Storage Systems and Technology
        • National High Technology Research and Development Program (863 Program)
        • National Natural Science Foundation of China (NSFC)

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)126
        • Downloads (Last 6 weeks)20
        Reflects downloads up to 25 Jan 2025

        Other Metrics

        Citations

        Cited By

        View all

        View Options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Login options

        Full Access

        Figures

        Tables

        Media

        Share

        Share

        Share this Publication link

        Share on social media