skip to main content
research-article
Public Access

An Accuracy Tunable Non-Boolean Co-Processor Using Coupled Nano-Oscillators

Published: 29 September 2017 Publication History

Abstract

As we enter an era witnessing the closer end of Dennard scaling, where further reduction in power supply-voltage to reduce power consumption becomes more challenging in conventional systems, a goal of developing a system capable of performing large computations with minimal area and power overheads needs more optimization aspects. A rigorous exploration of alternate computing techniques, which can mitigate the limitations of Complementary Metal-Oxide Semiconductor (CMOS) technology scaling and conventional Boolean systems, is imperative. Reflecting on these lines of thought, in this article we explore the potential of non-Boolean computing employing nano-oscillators for performing varied functions. We use a two coupled nano-oscillator as our basic computational model and propose an architecture for a non-Boolean coupled oscillator based co-processor capable of executing certain functions that are commonly used across a variety of approximate application domains. The proposed architecture includes an accuracy tunable knob, which can be tuned by the programmer at runtime. The functionality of the proposed co-processor is verified using a soft coupled oscillator model based on Kuramoto oscillators. The article also demonstrates how real-world applications such as Vector Quantization, Digit Recognition, Structural Health Monitoring, and the like, can be deployed on the proposed model. The proposed co-processor architecture is generic in nature and can be implemented using any of the existing modern day nano-oscillator technologies such as Resonant Body Transistors (RBTs), Spin-Torque Nano-Oscillators (STNOs), and Metal-Insulator Transition (MITs) . In this article, we perform a validation of the proposed architecture using the HyperField Effect Transistor (FET) technology-based coupled oscillators, which provide improvements of up to 3.5× increase in clock speed and up to 10.75× and 14.12× reduction in area and power consumption, respectively, as compared to a conventional Boolean CMOS accelerator executing the same functions.

References

[1]
ASTM. 1990. Standard practices for cycle counting in fatigue analysis. In American Society for Testing and Materials, West Conshohocken, PA. https://www.astm.org/Standards/E1049.htm.
[2]
U. R. Babu, Y. Venkateswarlu, and A. K. Chintha. 2014. Handwritten digit recognition using k-nearest neighbour classifier. In Proceedings of the 2014 World Congress on Computing and Communication Technologies (WCCCT), 60--65.
[3]
Baidar Bakht and Aftab Mufti. 2015. Bridges: Analysis, Design, Structural Health Monitoring, and Rehabilitation. Springer International Publishing, Cham, Chapter Structural Health Monitoring, 307--354.
[4]
Sebastian Thimotee Bartsch, Alexandru Rusu, and A. M. Ionescu. 2012. Phase-locked loop based on nanoelectromechanical resonant-body field effect transistor. Applied Physics Letters 101, 15 (2012), 153116.
[5]
M. Bohr. 2007. A 30 year retrospective on Dennard’s MOSFET scaling paper. IEEE Solid-State Circuits Society Newsletter 12, 1 (2007), 11--13.
[6]
G. Bozis and John D. Hadjidemetriou. 1999. On the continuation of periodic orbits from the restricted to the general three-body problem. Celestial Mechanics 13, 2 (1999), 127--136.
[7]
Trong Tu Bui and Tadashi Shibata. 2008. Compact bell-shaped analog matching-cell module for digital-memory-based associative processors. Japanese Journal of Applied Physics 47, 4S (2008), 2788.
[8]
Ke Chen and DeLiang Wang. 2002. A dynamically coupled neural oscillator network for image segmentation. Neural Networks 15, 3 (2002), 423--439.
[9]
Tianshi Chen, Zidong Du, Ninghui Sun, Jia Wang, Chengyong Wu, Yunji Chen, and O. Temam. 2015. A high-throughput neural network accelerator. IEEE Micro 35, 3 (May2015), 24--32.
[10]
Yunji Chen, Tao Luo, Shaoli Liu, Shijin Zhang, Liqiang He, Jia Wang, Ling Li, Tianshi Chen, Zhiwei Xu, Ninghui Sun, and Olivier Temam. 2014. DaDianNao: A machine-learning supercomputer. In Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’47). IEEE Computer Society, Washington, D.C., 609--622.
[11]
Vinay K. Chippa, Srimat T. Chakradhar, Kaushik Roy, and Anand Raghunathan. 2013. Analysis and characterization of inherent application resilience for approximate computing. In Proc. DAC. 113:1--113:9.
[12]
N. Chopra and M. W. Spong. 2005. On synchronization of Kuramoto oscillators. In Proceedings of the 44th IEEE Conference on Decision and Control, 2005 and 2005 European Control Conference (CDC-ECC’05). 3916--3922.
[13]
N. Chopra and M. W. Spong. 2009. On exponential synchronization of Kuramoto oscillators. IEEE Trans. Automat. Control 54, 2 (Feb.2009), 353--357.
[14]
G. Csaba, M. Pufall, D. Nikonov, G. Bourianoff, A. Horvath, T. Roska, and W. Porod. 2012. Spin torque oscillator models for applications in associative memories. In Proceedings of the 2012 13th International Workshop on Cellular Nanoscale Networks and Their Applications (CNNA). 1--2.
[15]
S. Datta, N. Shukla, M. Cotter, A. Parihar, and A. Raychowdhury. 2014. Neuro inspired computing with coupled relaxation oscillators. In Proceedings of the 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC). 1--6.
[16]
Robert H. Dennard, Fritz H. Gaensslen, Hwa nien Yu, V. Leo Rideout, Ernest Bassous, Andre, and R. Leblanc. 1974. Design of ion-implanted MOSFETs with very small physical dimensions. IEEE J. Solid-State Circuits (1974), 256.
[17]
Hadi Esmaeilzadeh, Emily Blem, Renee St. Amant, Karthikeyan Sankaralingam, and Doug Burger. 2011. Dark silicon and the end of multicore scaling. In Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA’11). ACM, New York, NY, 365--376.
[18]
J. Frougier, N. Shukla, D. Deng, M. Jerry, A. Aziz, L. Liu, G. Lavallee, T. S. Mayer, S. Gupta, and S. Datta. 2016. Phase-transition-FET exhibiting steep switching slope of 8mV/decade and 36 2016 IEEE Symposium on VLSI Technology. 1--2.
[19]
S. Furui. 1991. Vector-quantization-based speech recognition and speaker recognition techniques. In Proceedings of the 1991 Conference Record of the Twenty-Fifth Asilomar Conference on Signals, Systems and Computers. 954--958.
[20]
Neel Gala, V. R. Devanathan, K. Srinivasan, V. Visvanathan, and V. Kamakoti. 2014. ProCA: Progressive configuration aware design methodology for low power stochastic ASICs. In Proceedings of the 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems. 342--347.
[21]
Neel Gala, V. R. Devanathan, V Visvanathan, and V. Kamakoti. 2015. Best is the enemy of good: Design techniques for low power tunable approximate application specific integrated chips targeting media-based applications. Journal of Low Power Electronics 11, 2 (2015), 133--148.
[22]
Neel Gala, V. R. Devanathan, V. Visvanathan, V. Gandhi, and V. Kamakoti. 2013. Tunable stochastic computing using layered synthesis and temperature adaptive voltage scaling. In Proceedings of the 2013 5th Asia Symposium on Quality Electronic Design (ASQED). 103--112.
[23]
Jayavardhana Gubbi, Rajkumar Buyya, Slaven Marusic, and Marimuthu Palaniswami. 2013. Internet of things (IoT): A vision, architectural elements, and future directions. Future Generation Computer Systems 29, 7 (2013), 1645--1660.
[24]
Frank C. Hoppensteadt and Eugene M. Izhikevich. 1999. Oscillatory neurocomputers with dynamic connectivity. Phys. Rev. Lett. 82, 14 (Apr.1999), 2983--2986.
[25]
David Horn and Irit Opher. 1999. Collective excitation phenomena and their applications. In Pulsed Neural Networks. MIT Press, 297--320.
[26]
Matthew Jerry, Wei yu Tsai, Baihua Xie, Xueqing Li, Vijay Narayanan, Arijit Raychowdhury, and Suman Datta. 2016. Phase transition oxide neuron for spiking neural networks. In Proceedings of the 74th Device Research Conference (DRC).
[27]
John L. Johnson. 1994. Pulse-coupled neural nets: Translation, rotation, scale, distortion, and intensity signal invariance for images. Applied Optics 33, 26 (1994), 6239--6253.
[28]
S. Kaka, M. R. Pufall, W. H. Rippard, T. J. Silva, S. E. Russek, and J. A. Katine. 2006. Mutual phase-locking of microwave spin torque nano-oscillators. In Proceedings of the IEEE International INTERMAG 2006 Magnetics Conference. 2--2.
[29]
T Kakizawa and S Ohno. 1996. Utilization of shape memory alloy as a sensing material for smart structures. In Proc. Advanced Composite Materials in Bridges and Structures. 67--74.
[30]
H. B. Kekre, A. A. Athawale, and G. J. Sharma. 2011. Speech recognition using vector quantization. In Proceedings of the International Conference Workshop on Emerging Trends in Technology (ICWET’11). ACM, New York, NY, 400--403.
[31]
H. B. Kekre, T. K. Sarode, V. A. Bharadi, A. A. Agrawal, R. J. Arora, and M. C. Nair. 2010. Iris recognition using vector quantization. In Proceedings of the International Conference on Signal Acquisition and Processing, 2010 (ICSAP’10). 58--62.
[32]
Y. Kim, I. Hong, and H. J. Yoo. 2015. 18.3 A 0.5V 54 W ultra-low-power recognition processor with 93.5% accuracy geometric vocabulary tree and 47.5% database compression. In Proceedings of the 2015 IEEE International Solid-State Circuits Conference (ISSCC). 1--3.
[33]
Yoshiki Kuramoto. 2012. Chemical Oscillations, Waves, and Turbulence. Vol. 19. Springer Science 8 Business Media.
[34]
K. Kyamakya, J. C. Chedjou, M. A. Latif, and U. A. Khan. 2010. A novel image processing approach combining a coupled nonlinear oscillators’-based paradigm with cellular neural networks for dynamic robust contrast enhancement. In Proceedings of the 2010 12th International Workshop on Cellular Nanoscale Networks and Their Applications (CNNA). 1--7.
[35]
S. Levitan, Y. Fang, D. Dash, T. Shibata, D. Nikonov, and G. Bourianoff. 2012. Non-boolean associative architectures based on nano-oscillators. In Proceedings of the 2012 13th International Workshop on Cellular Nanoscale Networks and Their Applications (CNNA). 1--6.
[36]
M. Lichman. 2013. UCI Machine Learning Repository. Retrieved from http://archive.ics.uci.edu/ml.
[37]
Hongzhi Liu, Qiyong Guo, Mantao Xu, and I-Fan Shen. 2008. Fast image segmentation using region merging with a k-nearest neighbor graph. In Proceedings of the 2008 IEEE Conference on Cybernetics and Intelligent Systems. 179--184.
[38]
Xiuwen Liu and DeLiang L. Wang. 1999. Range image segmentation using a relaxation oscillator network. IEEE Transactions on Neural Networks 10, 3 (1999), 564--573.
[39]
Akira Mita and Shinpei Takhira. 2003. A smart sensor using a mechanical memory for structural health monitoring of a damage-controlled building. Smart Materials and Structures 12, 2 (2003), 204.
[40]
Norio Muto, Hiroaki Yanagida, Teruyuki Nakatsuji, Minoru Sugita, Yasushi Ohtsuka, and Yasuhiro Arai. 1992. Design of intelligent materials with self-diagnosing function for preventing fatal fracture. Smart Materials and Structures 1, 4 (1992), 324.
[41]
V. Narayanan, S. Datta, G. Cauwenberghs, D. Chiarulli, S. Levitan, and P. Wong. 2014. Video analytics using beyond CMOS devices. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014. 1--5.
[42]
Nasser M. Nasrabadi and Robert A. King. 1988. Image coding using vector quantization: A review. IEEE Transactions on Communications 36, 8 (1988), 957--971.
[43]
Dmitri E. Nikonov, Gyorgy Csaba, Wolfgang Porod, Tadashi Shibata, Danny Voils, Dan Hammerstrom, Ian A. Young, and George I. Bourianoff. 2013. Coupled-oscillator associative memory array operation for pattern recognition. In IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 1, 85--93.
[44]
A. Parihar, N. Shukla, S. Datta, and A. Raychowdhury. 2014. Exploiting synchronization properties of correlated electron devices in a non-Boolean computing fabric for template matching. IEEE Journal on Emerging and Selected Topics in Circuits and Systems 4, 4 (Dec2014), 450--459.
[45]
P. H. Pham, D. Jelaca, C. Farabet, B. Martini, Y. LeCun, and E. Culurciello. 2012. NeuFlow: Dataflow vision processing system-on-a-chip. In Proceedings of the 2012 IEEE 55th International Midwest Symposium on Circuits and Systems (MWSCAS). 1044--1047.
[46]
Poonam and M. Dutta. 2012. Performance analysis of clustering methods for outlier detection. In Proceedings of the 2012 2nd International Conference on Advanced Computing Communication Technologies. 89--95.
[47]
M. Price, J. Glass, and A. P. Chandrakasan. 2014. 27.2 A 6mW 5k-word real-time speech recognizer using WFST models. In Proceedings of the 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). 454--455.
[48]
T. Roska, A. Horvath, A. Stubendek, F. Corinto, G. Csaba, W. Porod, T. Shibata, and G. Bourianoff. 2012. An associative memory with oscillatory CNN arrays using spin torque oscillator cells and spin-wave interactions architecture and end-to-end simulator. In Proceedings of the 2012 13th International Workshop on Cellular Nanoscale Networks and Their Applications (CNNA). 1--3.
[49]
Tamás Roska and Ángel Rodríguez-Vázquez. 2002. Toward visual microprocessors. Proc. IEEE 90, 7 (2002), 1244--1257.
[50]
Mrigank Sharad, Karthik Yogendra, and Kaushik Roy. 2013. Energy efficient computing using coupled dual-pillar spin torque nano oscillators. IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). 28--29.
[51]
T. Shibata, R. Zhang, S. Levitan, D. Nikonov, and G. Bourianoff. 2012. CMOS supporting circuitries for nano-oscillator-based associative memories. In Proceedings of the 2012 13th International Workshop on Cellular Nanoscale Networks and Their Applications (CNNA). 1--5.
[52]
N. Shukla, A. Parihar, M. Cotter, M. Barth, X. Li, N. Chandramoorthy, H. Paik, D. G. Schlom, V. Narayanan, A. Raychowdhury, and S. Datta. 2014. Pairwise coupled hybrid vanadium dioxide-MOSFET (HVFET) oscillators for non-Boolean associative computing. In Proceedings of the 2014 IEEE International Electron Devices Meeting (IEDM). 28.7.1--28.7.4.
[53]
Nikhil Shukla, Arun V. Thathachary, Ashish Agrawal, Hanjong Paik, Ahmedullah Aziz, Darrell G. Schlom, Sumeet Kumar Gupta, Roman Engel-Herbert, and Suman Datta. 2015. A steep-slope transistor based on abrupt electronic phase transition. Nature Communications 7, 6 (2015), 7812.
[54]
S. Srinivasa, A. Aziz, N. Shukla, X. Li, J. Sampson, S. Datta, J. P. Kulkarni, V. Narayanan, and S. K. Gupta. 2016. Correlated material enhanced SRAMs with robust low power operation. IEEE Transactions on Electron Devices 63, 12 (2016), 4744--4752.
[55]
Wei-Yu Tsai, Xueqing Li, Matt Jerry, Baihua Xie, Nikhil Shukla, Huichu Liu, Nandhini Chandramoorthy, Matthew Cotter, Arijit Raychowdhury, Donald Chiarulli, S. P. Levitan, S. Datta, J. Sampson, N. Ranganathan, and V. Narayanan. 2016. Enabling new computation paradigms with HyperFET-an emerging device. In IEEE Transactions on Multi-Scale Computing Systems 2, 1 (2016), 30--48.
[56]
David W. L. Wang and David Terman. 1997. Image segmentation based on oscillatory correlation. Neural Computation 9, 4 (1997), 805--836.
[57]
Dana Weinstein and Sunil A Bhave. 2010. The resonant body transistor. Nano Letters 10, 4 (2010), 1234--1237.
[58]
B. D. Westermo and L. D. Thompson. 1994. Smart structural monitoring: A new technology. Sensors 11, 11 (1994), 15--18.
[59]
Arthur T. Winfree. 1967. Biological rhythms and the behavior of populations of coupled oscillators. Journal of Theoretical Biology 16, 1 (1967), 15--42.http://www.sciencedirect.com/science/article/pii/0022519367900513.
[60]
Zheng Yang, Changhyun Ko, and Shriram Ramanathan. 2011. Oxide electronics utilizing ultrafast metal-insulator transitions. Annual Review of Materials Research 41 (2011), 337--367.
[61]
Wenbin Zhang, Chunguang Suo, and Qi Wang. 2008. A novel sensor system for measuring wheel loads of vehicles on highways. Sensors 8, 12 (2008), 7671.

Index Terms

  1. An Accuracy Tunable Non-Boolean Co-Processor Using Coupled Nano-Oscillators

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Journal on Emerging Technologies in Computing Systems
    ACM Journal on Emerging Technologies in Computing Systems  Volume 14, Issue 1
    January 2018
    289 pages
    ISSN:1550-4832
    EISSN:1550-4840
    DOI:10.1145/3143783
    • Editor:
    • Yuan Xie
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 29 September 2017
    Accepted: 01 April 2017
    Revised: 01 March 2017
    Received: 01 June 2016
    Published in JETC Volume 14, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Kuramoto
    2. Non-boolean computing
    3. co-processor
    4. coupled oscillators
    5. digit recognition
    6. micro-architecture
    7. structural health monitoring
    8. vector quantization

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 597
      Total Downloads
    • Downloads (Last 12 months)84
    • Downloads (Last 6 weeks)14
    Reflects downloads up to 24 Dec 2024

    Other Metrics

    Citations

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Login options

    Full Access

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media