skip to main content
research-article

A Design and Analysis Framework for Thermal-Resilient Hard Real-Time Systems

Published: 23 July 2014 Publication History

Abstract

We address the challenge of designing predictable real-time systems in an unpredictable thermal environment where environmental temperature may dynamically change (e.g., implantable medical devices). Towards this challenge, we propose a control-theoretic design methodology that permits a system designer to specify a set of hard real-time performance modes under which the system may operate. The system automatically adjusts the real-time performance mode based on the external thermal stress. We show (via analysis, simulations, and a hardware testbed implementation) that our control design framework is stable and control performance is equivalent to previous real-time thermal approaches, even under dynamic temperature changes. A crucial and novel advantage of our framework over previous real-time control is the ability to guarantee hard deadlines even under transitions between modes. Furthermore, our system design permits the calculation of a new metric called thermal resiliency that characterizes the maximum external thermal stress that any hard real-time performance mode can withstand. Thus, our design framework and analysis may be classified as a thermal stress analysis for real-time systems.

References

[1]
Masud Ahmed, Nathan Fisher, Shengquan Wang, and Pradeep Hettiarachchi. 2011. Minimizing peak temperature in embedded real-time systems via thermal-aware periodic resources. Sustain. Comput. Inf. Syst. 1, 3, 226--240.
[2]
Nikhil Bansal and Kirk Pruhs. 2005. Speed scaling to manage temperature. In Proceedings of the Symposium on Theoretical Aspects of Computer Science.
[3]
Enrico Bini and Giorgio Buttazzo. 2004. Biasing effects in schedulability measures. In Proceedings of the 16th Euromicro Conference on Real-Time Systems. IEEE Computer Society, 196--203.
[4]
David Brooks and Margaret Martonosi. 2001. Dynamic thermal management for high-performance microprocessors. In Proceedings of the International Symposium on High-Performance Computer Architecture.
[5]
Thidapat Chantem, Robert P. Dick, and X. Sharon Hu. 2008. Temperature-aware scheduling and assignment for hard real-time applications on mpsocs. In Proceedings of the Design, Automation, and Test in Europe Conference.
[6]
Jian-Jia Chen, Chia-Mei Hung, and Tei-Wei Kuo. 2007. On the minimization of the instantaneous temperature for periodic real-time tasks. In Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium.
[7]
Jian-Jia Chen, Shengquan Wang, and Lothar Thiele. 2009. Proactive speed scheduling for frame-based real-time tasks under thermal constraints. In Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium.
[8]
Richard C. Dorf and Robert H. Bishop. 2000. Modern Control Systems. Prentice-Hall, Upper Saddle River, NJ.
[9]
Alexandre P. Ferreira, Daniel Mosse, and Jae C. Oh. 2007. Thermal faults modeling using a rc model with an application to web farms. In Proceedings of the Euromicro Conference on Real-Time Systems. IEEE Computer Society.
[10]
Nathan Fisher and Masud Ahmed. 2011. Tractable real-time schedulability analysis for mode changes under temporal isolation. In Proceedings of the 9th IEEE Symposium on Embedded Systems for Real-Time Multimedia (ESTImedia'11). IEEE Computer Society.
[11]
Nathan Fisher, Jian-Jia Chen, Shengquan Wang, and Lothar Thiele. 2009. Thermal-aware global real-time scheduling on multicore systems. In Proceedings of the 15th IEEE Real-Time and Embedded Technology and Applications Symposium. IEEE Computer Society Press.
[12]
Yong Fu, Nicholas Kottenstette, Yingming Chen, Chenyang Lu, Xenofon D. Koutsoukos, and Hongan Wang. 2010a. Feedback thermal control for real-time system. In Proceedings of the Real-Time and Embedded Technology and Applications Systems Symposium. IEEE Computer Society Press.
[13]
Xing Fu, Xiaorui Wang, and Eric Puster. 2010b. Simultaneous thermal and timeliness guarantees in distributed real-time embedded systems. J. Syst. Archit. Euromicro J. 57, 6, 584--596.
[14]
Arkadii Khaimovich Gelig and Alexander N. Churilov. 1998. Stability and Oscillations of Nonlinear Pulse-Modulated Systems. Birkhauser Basel.
[15]
Pradeep M. Hettiarachchi, Nathan Fisher, Masud Ahmed, Le Yi Wang, Shinan Wang, and Weisong Shi. 2011. The design and analysis of thermally-resilient hard-real-time systems (extended version). Tech. rep., Wayne State University. http://www.cs.wayne.edu/∼fishern/papers/thermal-control-rats2012.pdf.
[16]
INTEL. 2000. Intel pentium 4 processor in the 423-pin package thermal design guidelines. Tech. rep., Intel Corp.
[17]
Greg Kelly. 2006. Body temperature variability (part 1): A review of the history of body temperature and its variability due to site selection, biological rhythms, fitness, and aging. Altern. Med. Rev. 11, 4, 278--293.
[18]
Sohee Kim, Prashant Tathireddy, Richard A. Normann, and Florian Solzbacher. 2007. Thermal impact of an active 3-d microelectrode array implanted in the brain. IEEE Trans. Neural Syst. Rehab. Engin. 15, 4, 493--501.
[19]
Joseph C. Lamanna, Kimberly A. Mccracken, Madhavi Patil, and Otto J. Prohaska. 1989. Stimulus-activated changes in brain tissue temperature in the anesthetized rat. Metabolic Brain Disease 4, 4, 225--237.
[20]
Gianluca Lazzi. 2005. Thermal effects of bioimplants. IEEE Engin. Med. Biol. Mag. 24, 5, 75--81.
[21]
Chang Liu and James Layland. 1973. Scheduling algorithms for multiprogramming in a hard real-time environment. J. ACM 20, 1, 46--61.
[22]
Yongpan Liu, Robert P. Dick, Li Shang, and Huazhong Yang. 2007. Accurate temperature-dependent integrated circuit leakage power estimation is easy. In Proceedings of the Design, Automation and Test in Europe Conference. 1526--1531.
[23]
Aloysius K. Mok. 1983. Fundamental design problems of distributed systems for the hard-real-time environment. Ph.D. dissertation, Laboratory for Computer Science, Massachusetts Institute of Technology. (Tech. rep. MIT/LCS/TR-297).
[24]
Norman S. Nise. 2000. Control Systems Engineering. John Wiley and Sons, New York.
[25]
Katsuhiko Ogata. 1995. Discrete-Time Control Systems 2nd Ed. Prentice-Hall, Upper Saddle River, NJ.
[26]
Gang Quan and Yan Zhang. 2009. Leakage aware feasibility analysis for temperature-constrained hard real-time periodic tasks. In Proceedings of the 21st Euromicro Conference on Real-Time Systems. IEEE Computer Society. 207--216.
[27]
Rangunathan Rajkumar, Chen Lee, John Lehoczky, and Dan Siewiorek. 1997. A resource allocation model for qos management. In Proceedings of the 18th IEEE Real-Time Systems Symposium (RTSS'97). IEEE Computer Society, 298. http://portal.acm.org/citation.cfm?id=827269.828990.
[28]
Paul S. Ruggera, Donald M. Witters, Geoffrey Von Maltzahn, and Howard I. Bassen. 2003. In vitro assessment of tissue heating near metallic medical implants by exposure to pulsed radio frequency diathermy. Phys. Med. Biol. 48, 17, 2919--2928.
[29]
Jerry Sergent and Al Krum. 1998. Thermal Management Handbook for Electronic Assemblies. McGraw-Hill Professional.
[30]
Insik Shin and Insup Lee. 2008. Compositional real-time scheduling framework with periodic model. ACM Trans. Embed. Comput. Syst. 7, 3.
[31]
Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, and David Tarjan. 2003. Temperature-aware microarchitecture. ACM SIGARCH Comput. Archit. News 31, 2, 2--13.
[32]
Nick F. Timmons and William G. Scanlon. 2009. An adaptive energy efficient mac protocol for the medical body area network. In Proceedings of the 1st International Conference on Wireless Communication, Vehicular Technology, Information Theory and Aerospace Electronic Systems Technology. 587--593.
[33]
Shengquan Wang and Riccardo Bettati. 2008. Reactive speed control in temperature-constrained real-time systems. Real-Time Syst. J. 39, 1--3, 658--671.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Embedded Computing Systems
ACM Transactions on Embedded Computing Systems  Volume 13, Issue 5s
Special Issue on Risk and Trust in Embedded Critical Systems, Special Issue on Real-Time, Embedded and Cyber-Physical Systems, Special Issue on Virtual Prototyping of Parallel and Embedded Systems (ViPES)
November 2014
501 pages
ISSN:1539-9087
EISSN:1558-3465
DOI:10.1145/2660459
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 23 July 2014
Accepted: 01 June 2013
Revised: 01 January 2013
Received: 01 July 2012
Published in TECS Volume 13, Issue 5s

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. EDF
  2. Real-time systems
  3. controltheoretic systems
  4. multimode system
  5. multimode systems
  6. reactive systems
  7. schedulability
  8. thermal resiliency
  9. thermal-aware periodic resource
  10. thermal-aware systems
  11. thermalaware system

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)5
  • Downloads (Last 6 weeks)0
Reflects downloads up to 14 Sep 2024

Other Metrics

Citations

Cited By

View all

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media