skip to main content
10.1145/2380445.2380476acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

The roce-bush router: a case for routing-centric dimensional decomposition for low-latency 3D noC routers

Published: 07 October 2012 Publication History

Abstract

As 3D System-On-Chips (SoCs) come ever closer to becoming the standard for high performance ICs, 3D Networks on Chips (NoCs) have emerged as a key component in meeting performance constraints and ensuring power-efficiency. Among the proposed 3D router architectures, dimensionally-decomposed routers are widely accepted as an efficient solution to deal with the increased port count and the accompanying exponential power and area increases. All decompositions proposed thus far have however been dimensionally static, that is, they have set in stone a particular bias among the three dimensions. This paper presents a novel router with a routing-centric decomposition and virtual channel buffer sharing called the Roce-Bush router. To our knowledge, this is the first work that integrates routing-awareness in the context of dimensional decomposition and buffer resource allocation for NoC routers. Experimental results involving RTL level implementations of our router and synthesis at 45nm show that compared to a dimensional-agnostic decomposed router, the Roce-Bush router can achieve up to 14% better performance and 5% lower power.

References

[1]
L. Benini et al., "Networks on Chip: A New SoC Paradigm," Proc. Computer, 49(1):70--71, Jan 2002.
[2]
C. Nicopoulos et al., "Network-on-Chip Architectures: A Holistic Design Exploration", ISBN-9048130301, 2009.
[3]
J. Lau, "Advanced MEMS Packaging", ISBN-10: 0071626239, chapter 2, pp 50, 2009.
[4]
J. Kim, "A Novel Dimensionally-Decomposed Router for On-Chip Communication in 3D Architectures", Proceedings of the 34th annual international symposium on Computer architecture (ISCA), 2007.
[5]
W. Lafi, "An efficient hierarchical router for large 3D NoCs", 21st IEEE International Symposium on Rapid System Prototyping (RSP), 2010.
[6]
F. Darve, "Physical Implementation of an Asynchronous 3D-NoC Router using Serial Vertical Links", Proc. IEEE ISVLSI, 2011.
[7]
D. Park, "MIRA: A Multi-layered On-Chip Interconnect Router Architecture", Proc. ISCA, 2008.
[8]
E. Marinissen, "Testing 3D chips containing through-silicon vias", International Test Conference, 2009.
[9]
A. Banerjee, "A Power and Energy Exploration of Network-on-Chip Architectures", Proceedings of the First International Symposium on Networks-on-Chip (NOCS), 2007.
[10]
A. G. Wassal, "Novel 3D memory-centric NoC architecture for transaction-based SoC applications", Saudi International Electronics, Communications and Photonics Conference (SIECPC), 2011.
[11]
N. Choudhary, "Routing Centric NoC Design for High Performance Multimedia Application", International Journal of Soft Computing and Engineering (IJSCE), ISSN: 2231--2307, Volume-1, Issue-5, 2011.
[12]
R. Mullins, "Low-Latency Virtual-Channel Routers for On-Chip Networks", Proc. ISCA, 2004.
[13]
R. Mullins, "The Design and Implementation of a Low-Latency On-Chip Network", Asia and South Pacific Conference on Design Automation, 2006.
[14]
W. J. Dally and B. P. Towles, "Principles and Practices of Interconnection Networks", ISBN 0--12--200751--4, 2004.
[15]
C. Glass, "The Turn Model for Adaptive Routing", The 19th Annual International Symposium on Computer Architecture, 1992.
[16]
H. Zhu, "Performance Evaluation of Adaptive Routing Algorithms for achieving Fault Tolerance in NoC Fabrics", IEEE International Conf. on Application -specific Systems, Architectures and Processors, 2007.
[17]
R. Manevich, "A Cost Effective Centralized Adaptive Routing for Networks-on-Chip", 14th Euromicro Conference on Digital System Design (DSD), 2011.
[18]
K. Shim, "Static virtual channel allocation in oblivious routing", 3rd ACM/IEEE International Symposium on Networks-on-Chip (NoCs), 2009.
[19]
A. B. Kahng, "ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration", Conference on Design, Automation and Test in Europe (DATE), 2009.
[20]
K. Latif, "PVS-NoC: Partial Virtual Channel Sharing NoC Architecture", 19th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), 2011
[21]
R. Mullins, "Netmaker -- 2D NoC simulation and synthesis library", http://www-dyn.cl.cam.ac.uk/~rdm34/wiki/index.php, 2009.
[22]
S. Y. Nam, "Decomposed crossbar switches with multiple input and output buffers", Global Telecommunications Conference, 2001
[23]
Tilera Corp., "Tilera TILE-Gx 3000", http://www.tilera.com/, 2011.
[24]
F. Darve et al., "3D Embedded multi-core: Some perspectives", Proc. DATE, 2011.
[25]
A. Rahmani et al., "Negative Exponential Distribution Traffic Pattern for Power/Performance Analysis of Network on Chips", International conference on VLSI design, pp 157--162, Jan 2009.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
CODES+ISSS '12: Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
October 2012
596 pages
ISBN:9781450314268
DOI:10.1145/2380445
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 07 October 2012

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. 3d ics
  2. network-on-chips
  3. nocs
  4. router architecture
  5. system-on-chips

Qualifiers

  • Research-article

Conference

ESWEEK'12
ESWEEK'12: Eighth Embedded System Week
October 7 - 12, 2012
Tampere, Finland

Acceptance Rates

CODES+ISSS '12 Paper Acceptance Rate 48 of 163 submissions, 29%;
Overall Acceptance Rate 280 of 864 submissions, 32%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)281
  • Downloads (Last 6 weeks)65
Reflects downloads up to 31 Dec 2024

Other Metrics

Citations

Cited By

View all

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media