skip to main content
10.1145/2155620.2155643acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

CRAM: coded registers for amplified multiporting

Published: 03 December 2011 Publication History

Abstract

Modern out-of-order processors require a large number of register file access ports. However, adding more ports can drastically increase the delay, power and area of the register file. This relationship imposes constraints on existing superscalar designs while impeding implementation of faster and wider out-of-order processors. In this paper, we present a novel multi-ported register file using concepts from network coding. We split a true multi-ported register file into two interleaved banks, each having half the read and write ports. A third bank, storing the XOR of the write backs to the other two banks, is added to amplify the read and write bandwidth. When compared to a conventional register file, our 8R4W 128-entry coded CRAM register file reduces leakage power by 48%, area by 29% and delay by 9%. In addition, for SPEC2006 benchmarks, our implementation consumes 40% less register file dynamic energy on average with IPC degradation of 3%.

References

[1]
V. Zyuban and P. Kogge. The energy complexity of register files. ISLPED'98.
[2]
S. Thoziyoor, J. H. Ahn, M. Monchiero, J. B. Brockman and N. P. Jouppi. A Comprehensive Memory Modeling Tool and Its Application to the Design and Analysis of Future Memory Hierarchies. ISCA-32, 2008.
[3]
Farkas, K. I.; Jouppi, N. P.; Chow, P. Register file design considerations in dynamically scheduled processors. HPCA-2, 1996.
[4]
A. Gonzalez, J. Gonzalez and M. Valero. Virtual-Physical Registers, in the proceedings of HPCA, 1998.
[5]
T. Monreal, A. Gonzalez, M. Valero, J. Gonzalez and V. Vinals. Delaying Register Allocation Through Virtual-Physical Registers, in the proceedings of MICRO, 1999.
[6]
S. Wallace and N. Bagherzadeh. A scalable register file architecture for dynamically scheduled processors. In Proc. PACT, October 1996.
[7]
R. Balasubramonian, S. Dwarkadas, and D. H. Albonesi. Reducing the complexity of the register file in dynamic superscalar processors. In MICRO-34, December 2001
[8]
I. Park, M. D. Powell, and T. N. Vijaykumar. Reducing register ports for higher speed and lower energy. In MICRO-35, Istanbul, Turkey, November 2000
[9]
J.H. Tseng, K. Asanovic. Banked multiported register files for high-frequency superscalar microprocessors. ISCA-30, 2003.
[10]
Nam Duong; R. Kumar. Register Multimapping: A technique for reducing register bank conflicts in processors with large register files. Application Specific Processors, 2009. SASP '09.
[11]
J.-L. Cruz, A. Gonzalez, M. Valero, and N. P. Topham. Multiple-banked register file architectures. In ISCA-27.
[12]
R. E. Kessler. The Alpha 21264 microprocessor, IEEE Micro, March/April 1999.
[13]
G. S. Sohi, S. Breach, and T. N. Vijaykumar. Multiscalar processors. In ISCA-22, 1995.
[14]
V. V. Zyuban and P. M. Kogge. Inherently lower power high-performance superscalar architectures. IEEE Trans. on Computers, March 2001.
[15]
Keith I. Farkas, Paul Chow, Norman P. Jouppi, and Zvonko G. Vranesic. The Multicluster architecture: Reducing cycle time through partitioning. In MICRO-30, 1997.
[16]
S. Palacharla, N. Jouppi, and J. E. Smith. Complexity effective superscalar processors. In ISCA-24, June 1997.
[17]
A. Seznec, E Toullec and O. Rochecouste. Register write specialization register read specialization: A path to complexity-effective wide-issue superscalar processors. In MICRO-35, Istanbul, Turkey, November 2002.
[18]
J. P. Shen; M. H. Lipasti. Modern processor design: fundamentals of superscalar processors. McGraw Hill, 2005.
[19]
Christina Fragouli, Jean-Yves Le Boudec, Jörg Widmer. Network coding: an instant primer. ACM SIGCOMM Computer Communication Review, Volume 36, Issue 1 (January 2006)
[20]
Standard Performance Evaluation Corporation. http://www.spec.org/cpu2006
[21]
N. K. Choudhary, S. V. Wadhavkar, T. A. Shah, H. Mayukh, J. Gandhi, B. H. Dwiel, S. Navada, H. H. Najaf-abadi, and E. Rotenberg. FabScalar: Composing Synthesizable RTL Designs of Arbitrary Cores within a Canonical Superscalar Template. ISCA-38, June 2011
[22]
Timothy Sherwood, Erez Perelman, Greg Hamerly, and Brad Calder. Automatically characterizing large scale program behavior. In Proceedings of the 10th international conference on Architectural support for programming languages and operating systems, 2002.
[23]
Bochs: The Cross Platform IA-32 Emulator, http://bochs.sourceforge.net
[24]
David Brooks, Vivek Tiwari, and Margaret Martonosi. 2000. Wattch: a framework for architectural-level power analysis and optimizations. ISCA-27, 2000.
[25]
A.Phansalkar, A. Joshi, and L. K. John. Analysis of Redundancy and Application Balance in the SPEC CPU2006 Benchmark Suite. In ISCA-34, 2007.
[26]
Harish Patil, Robert Cohn, Mark Charney, Rajiv Kapoor, Andrew Sun, and Anand Karunanidhi. Pinpointing representative portions of large Intel Itanium programs with dynamic instrumentation. In MICRO-37, 2004.
[27]
T. Sherwood, E. Perelman, and B. Calder. Basic block distribution analysis to find periodic behavior and simulation points in applications. In PACT, 2001.
[28]
Timothy Sherwood, Erez Perelman, Greg Hamerly, and Brad Calder. Automatically characterizing large scale program behavior. In ASPLOS-10, 2002.
[29]
J. Glossner, E. Hokenek, and M. Moudgill. The Sandbridge Sandblaster Communications Processor. In 3rd Workshop on Application Specific Processors, Sept. 2004.
[30]
Wayne Wolf. High-Performance Embedded Computing: Architectures, Applications, and Methodologies. Morgan Kaufmann, 2006
[31]
Y. Sazeides, R. Kumar, D. M. Tullsen and T. Constantinou. The Danger of Interval-Based Power Efficiency Metrics: When Worst Is Best. In Computer Architecture Letters, Vol 4, 2005.

Cited By

View all

Index Terms

  1. CRAM: coded registers for amplified multiporting

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    MICRO-44: Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
    December 2011
    519 pages
    ISBN:9781450310536
    DOI:10.1145/2155620
    • Conference Chair:
    • Carlo Galuzzi,
    • General Chair:
    • Luigi Carro,
    • Program Chairs:
    • Andreas Moshovos,
    • Milos Prvulovic
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 03 December 2011

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. banking
    2. low power
    3. microarchitecture
    4. network coding
    5. register file

    Qualifiers

    • Research-article

    Funding Sources

    Conference

    MICRO-44
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 484 of 2,242 submissions, 22%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 01 Jan 2025

    Other Metrics

    Citations

    Cited By

    View all

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media