skip to main content
10.1145/1242531.1242551acmconferencesArticle/Chapter ViewAbstractPublication PagescfConference Proceedingsconference-collections
Article

An intra-task dvfs technique based on statistical analysis of hardware events

Published: 07 May 2007 Publication History

Abstract

The importance and demand for various types of optimization techniques for program execution is growing rapidly. In particular, dynamic optimization techniques are regarded as important. Although conventional techniques usually generated an execution model for dynamic optimization by qualitatively analyzing the behaviors of computer systems in a knowledge-based manner, the proposed technique generates models by statistically analyzing the behaviors from quantitative data of hardware events. In the present paper, a novel dynamic voltage and frequency scaling (DVFS) method based on statistical analysis is proposed. The proposed technique is a hybrid technique in which static information, such as the breakpoint of program phases and, dynamic information, such as the number of cache misses given by the performance counter, are used together. Relationships between the performance and values of performance counters are learned statistically in advance. The compiler then inserts a run-time code for predicting the performance and setting the appropriate frequency/voltage depending on the predicted performance. The proposed technique can greatly reduce the energy consumption while satisfying soft timing constraints.

References

[1]
Advanced Micro Devices, AMD. AMD Opteron Processor Product Data Sheet. http://www.amd.com/usen/assets/content type/white papers and tech docs/23932.pdf.
[2]
Advanced Micro Devices, AMD. AMD Turion 64 Mobile Technology Product Data Sheet. http://www.amd.com/usen/assets/content type/white papers and tech docs/32816.pdf.
[3]
Intel corporation. ia-32 intel architecture software developer's manual volume 3: System programming guide, 2002.
[4]
L. Baraz, T. Devor, O. Etzion, S. Goldenberg, A. Skaletsky, Y. Wang, and Y. Zemach. Ia-32 execution layer: a two-phase dynamic translator designed to support ia-32 applications on itanium-based systems. In MICRO, pp. 191--204, 2003.
[5]
S. Browne, J. Dongarra, N. Garner, K. S. London, and P. Mucci. A scalable cross-platform infrastructure for application performance tuning using hardware counters. In SC, 2000.
[6]
S. Chheda, O. S. Unsal, I. Koren, C. M. Krishna, and C. A. Moritz. Combining compiler and runtime ipc predictions to reduce energy in next generation architectures. In Conf. Computing Frontiers, pp. 240--254, 2004.
[7]
K. Choi, R. Soma, and M. Pedram. Fine-grained dynamic voltage and frequency scaling for precise energy and performance trade-off based on the ratio of off-chip access to on-chip computation times. In DATE, pp. 4--9, 2004.
[8]
G. Contreras and M. Martonosi. Power prediction for intel xscale processors using performance monitoring unit events. In ISLPED, pp. 221--226, 2005.
[9]
K. Ebcioglu and E. R. Altman. Daisy: Dynamic compilation for 100% architectural compatibility. In ISCA, pp. 26--37, 1997.
[10]
R. V. Hogg, A. Craig, and J. W. McKean. Introduction to Mathematical Statistics,6th Edition. Prentice Hall, 2004.
[11]
C.-H. Hsu and U. Kremer. The design, implementation, and evaluation of a compiler algorithm for cpu energy reduction. In PLDI, pp. 38--48, 2003.
[12]
C. J. Hughes and S. V. Adve. A formal approach to frequent energy adaptations for multimedia applications. In ISCA, pp. 138--149, 2004.
[13]
K. Krewell. Pentium m hits the street. Microprocessor Report, 17(3), March 2003.
[14]
C.-K. Luk, R. S. Cohn, R. Muth, H. Patil, A. Klauser, P. G. Lowney, S. Wallace, V. J. Reddi, and K. M. Hazelwood. Pin: building customized program analysis tools with dynamic instrumentation. In PLDI, pp. 190--200, 2005.
[15]
D. Marculescu. On the use of microarchitecture-driven dynamic voltage scaling, 2000.
[16]
G. Semeraro, D. H. Albonesi, S. Dropsho, G. Magklis, S. Dwarkadas, and M. L. Scott. Dynamic frequency and voltage control for a multiple clock domain microarchitecture. In MICRO, pp. 356--367, 2002.
[17]
Standard Performance Evaluation Corporation (SPEC). SPEC CPU2000. http://www.specbench.org.
[18]
Q. Wu, M. Martonosi, D. W. Clark, V. J. Reddi, D. Connors, Y. Wu, J. Lee, and D. Brooks. A dynamic compilation framework for controlling microprocessor energy and performance. In MICRO, pp. 271--282, 2005.

Cited By

View all

Index Terms

  1. An intra-task dvfs technique based on statistical analysis of hardware events

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CF '07: Proceedings of the 4th international conference on Computing frontiers
    May 2007
    300 pages
    ISBN:9781595936837
    DOI:10.1145/1242531
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 07 May 2007

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. DVFS
    2. hardware performance counters
    3. performance estimation
    4. statistical analysis

    Qualifiers

    • Article

    Conference

    CF07
    Sponsor:
    CF07: Computing Frontiers Conference
    May 7 - 9, 2007
    Ischia, Italy

    Acceptance Rates

    Overall Acceptance Rate 273 of 785 submissions, 35%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)8
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 14 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media