skip to main content
article

Efficient techniques for transition testing

Published: 01 April 2005 Publication History

Abstract

Scan-based transition tests are added to improve the detection of speed failures in sequential circuits. Empirical data suggests that both data volume and application time will increase dramatically for such transition testing. Techniques to address the above problem for a class of transition tests, called enhanced transition tests, are proposed in this article.The first technique, which combines the proposed transition test chains with the ATE repeat capability, reduces test data volume by 46.5% when compared with transition tests computed by a commercial transition test ATPG tool. However, the test application time may sometimes increase. To address the test time issue, a new DFT technique, Exchange Scan, is proposed. Exchange scan reduces both data volume and application time by 46.5%. These techniques rely on the use of hold-scan cells and highlight the effectiveness of hold-scan design to address test time and test data volume issues. In addition, we address the problem of yield loss due to incidental overtesting of functionally-untestable transition faults, and we formulate an efficient adjustment to the algorithm to keep the overtest ratio low. Our experimental results show that up to 14.5% reduction in overtest ratio can be achieved, with an average overtest reduction of 4.68%.

References

[1]
Chandra, A. and Chakrabarty, K. 2001. Frequency directed run length(FDR) codes with application to system on a chip data compression. In Proceedings of the VLSI Testing Symposium. 42--47.
[2]
Dervisoglu, B. and Stong, G. 1991. Design for testability: Using scanpath techniques for path-delay test and measurement. In Proceedings of the IEEE International Test Conference. 365--374.
[3]
Das, D. and Touba, N. A. 2000. Reducing Test Data Volume Using External/BIST Hybrid Test Patterns. In Proceedings of the IEEE International Test Conference. 115--122.
[4]
Eldred, R.D. 1959. Test routing based on symbolic logical statement. J. ACM, 6, 1 (Jan.), 33--36.
[5]
Hsu, F. F., Butler, K. M., and Patel, J. H. 2001. A Case Study of the Illinois scan architecture. In Proceedings of the IEEE International Test Conference. 538--547.
[6]
Hamzaoglu, I. and Patel, J. H. 1999. Reducing Test application time for full scan embedded cores. In 29th International Symposium on Fault-Tolerant Computing. 260--267.
[7]
Heragu, K., Patel, J. H., and Agrawal V. D. 1996. Segment delay faults: a new fault model. In Proceedings of the VLSI Testing Symposium. 32--39.
[8]
Hsiao, M. S. 2002. Maximizing impossibilities for untestable fault identification. In IEEE Design Automation and Test in Europe Conference. 949--953.
[9]
Keller, B., Barnhart, C., Brunkhorst, V., Distler, F., Ferko, A., Farnsworth, O., and Koeneman, B. 2001. OPMISR: The foundation of compressed ATPG vectors. In Proceedings of the IEEE International Test Conference. 748--757.
[10]
Koeneman, B. 1991. LFSR-coded test patterns for scan designs. In IEEE European Test Conference. 237--242.
[11]
Lee, K.-J., Chen, J.,-J., and Huang, C.-H. 1998. Using a single input to support multiple scan chains. In IEEE/ACM International Conference on Computer-Aided Design. 74--78.
[12]
Liu, X., Hsiao, M. S., Chakravarty, S., and Thadikaran, P. J. 2003. Efficient transition fault ATPG algorithms based on stuck-at test vectors. J. Electr. Test. Theo. Applicat., 19, 4 (Aug.), 437--445.
[13]
Lai, W. C., Kristic, A., and Cheng, K. T. 2000a. On testing the path delay faults of a microprocessor using its instrcution set. In Proceedings of the VLSI Testing Symposium. 15--20.
[14]
Lai, W. C., Kristic, A., and Cheng, K. T. 2000b. Test program synthesis for path delay faults in microprocessor cores. In Proceedings of the IEEE International Test Conference. 1080--1089.
[15]
Rearick, J. 2001. Too much delay fault coverage is a bad thing. In Proceedings of the IEEE International Test Conference. 624--633.
[16]
Smith, G. L. 1985. Model for delay faults based upon paths. In Proceedings of the IEEE International Test Conference. 342--349.
[17]
Savir, J. and Patil, S. 1993. Scan-based transition test. IEEE Trans. on Comput.-Aid. Des. Integr. Circuit Syst. 12, 8 (Aug.).
[18]
Savir, J. and Patil, S. 1994. On broad-side delay test. In Proceedings of the VLSI Testing Symposium. 284--290.
[19]
Tendulkar, N., Raina, R., Woltenburg, R., Lin, X., Swanson, B., and Aldrich, G. 2002. Novel techniques for achieving high at-speed transition fault coverage for Motorola's microprocessors based on PowerPC instruction set architecture. In Proceedings of the VLSI Testing Symposium. 3--8.
[20]
Waicukauski, J. A., Lindbloom, E., Rosen, B. K., and Iyengar, V. S. 1987. Transition fault simulation. IEEE Des. Test Comput., (April), 32--38.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 10, Issue 2
April 2005
244 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/1059876
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 01 April 2005
Published in TODAES Volume 10, Issue 2

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Test application time reduction
  2. test chain
  3. test data volume reduction
  4. transition faults
  5. yield loss

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)3
  • Downloads (Last 6 weeks)0
Reflects downloads up to 14 Sep 2024

Other Metrics

Citations

Cited By

View all

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media