skip to main content
research-article

Synthesizing Brain-network-inspired Interconnections for Large-scale Network-on-chips

Published: 15 October 2021 Publication History

Abstract

Brain network is a large-scale complex network with scale-free, small-world, and modularity properties, which largely supports this high-efficiency massive system. In this article, we propose to synthesize brain-network-inspired interconnections for large-scale network-on-chips. First, we propose a method to generate brain-network-inspired topologies with limited scale-free and power-law small-world properties, which have a low total link length and extremely low average hop count approximately proportional to the logarithm of the network size. In addition, given the large-scale applications, considering the modularity of the brain-network-inspired topologies, we present an application mapping method, including task mapping and deterministic deadlock-free routing, to minimize the power consumption and hop count. Finally, a cycle-accurate simulator \(BookSim2\) is used to validate the architecture performance with different synthetic traffic patterns and large-scale test cases, including real-world communication networks for the graph processing application. Experiments show that, compared with other topologies and methods, the brain-network-inspired network-on-chips (NoCs) generated by the proposed method present significantly lower average hop count and lower average latency. Especially in graph processing applications with a power-law and tightly coupled inter-core communication, the brain-network-inspired NoC has up to 70% lower average hop count and 75% lower average latency than mesh-based NoCs.

References

[1]
ITRS. 2007. International Technology Roadmap for Semiconductors. Retrieved from http://www.itrs.net.
[2]
A. Andrea, M. Bratislav, and S. Olaf.2017. Communication dynamics in complex brain networks. Nature Rev. Neurosci. 19 (2017), 17–33.
[3]
M. Bai, D. Zhao, and H. Wu. 2016. CATBR-congestion aware traffic bridging routing among hierarchical networks-on-chip. In Proceedings of the 29th IEEE International System-on-Chip Conference (SOCC’16). 52–57.
[4]
James Balfour and William J. Dally. 2006. Design tradeoffs for tiled CMP on-chip networks. In Proceedings of the ACM International Conference on Supercomputing. Association for Computing Machinery, New York, NY, 390–401. https://doi.org/10.1145/2591635.2667187
[5]
A. L. Barabasi and R. Albert. 1999. Emergence of scaling in random networks. Science 286, 5439 (Oct. 1999), 509–512. https://doi.org/10.1126/science.286.5439.509
[6]
B. V. Benjamin, P. Gao, E. Mcquinn, S. Choudhary, A. R. Chandrasekaran, J. Bussat, R. Alvarez-Icaza, J. V. Arthur, P. A. Merolla, and K. Boahen. 2014. Neurogrid: A mixed-analog-digital multichip system for large-scale neural simulations. Proc. IEEE 102, 5 (2014), 699–716.
[7]
T. Bjerregaard and S. Mahadevan. 2006. A survey of research and practices of network-on-chip. Comput. Surveys 38, Mar (2006), p.1.1–1.51.
[8]
V. D. Blondel, J. L. Guillaume, R. Lambiotte, and E. Lefebvre. 2008. Fast unfolding of communities in large networks. J. Stat. Mech. 2008, 10 (Oct. 2008), P10008. https://doi.org/10.1088/1742-5468/2008/10/p10008
[9]
S. Borkar. 2007. Thousand core chips: A technology perspective. In Proceedings of the 44th Annual Design Automation Conference (DAC’07). New York, NY, 746–749. https://doi.org/10.1145/1278480.1278667
[10]
E. Bulut and B. K. Szymanski. 2014. Constructing limited scale-free topologies over peer-to-peer networks. IEEE Trans. Parallel Distrib. Syst. 25, 4 (2014), 919–928.
[11]
S. Carrillo, J. Harkin, L. J. McDaid, F. Morgan, S. Pande, S. Cawley, and B. McGinley. 2013. Scalable hierarchical network-on-chip architecture for spiking neural network hardware implementations. IEEE Trans. Parallel Distrib. Syst. 24, 12 (2013), 2451–2461. https://doi.org/10.1109/TPDS.2012.289
[12]
S. Chen, M. Ge, Z. Li, J. Huang, Q. Xu, and F. Wu. 2020. Generalized fault-tolerance topology generation for application specific network-on-chips. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 39, 6 (2020), 1191–1204. https://doi.org/10.1109/TCAD.2019.2952134
[13]
W. Dally and B. Towles. 2003. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers Inc., San Francisco, CA.
[14]
S. Das, J. R. Doppa, P. P. Pande, and K. Chakrabarty. 2017. Design-space exploration and optimization of an energy-efficient and reliable 3D small-world network-on-chip. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 36, 5 (2017), 719–732.
[15]
T. N. Dinh and M. T. Thai. 2013. Community detection in scale-free networks: Approximation algorithms for maximizing modularity. IEEE J. Select. Areas Commun. 31, 6 (2013), 997–1006.
[16]
V. M. Eguiluz, D. R. Chialvo, G. A. Cecchi, M. Baliki, and A. V. Apkarian. 2005. Scale-free brain functional networks. Phys. Rev. Lett. 94, 1 (2005), p.018102.1–018102.4.
[17]
P. A. Merolla et al.2014. A million spiking-neuron integrated circuit with a scalable communication network and interface. Science 345, 6197 (2014), 668–673.
[18]
Mengke Ge, Qi Xu, Huajie Ruan, Xiaobing Ni, Song Chen, and Yi Kang. 2020. Synthesizing a generalized brain-inspired interconnection network for large-scale network-on-chip systems. In Proceedings of the Great Lakes Symposium on VLSI. 303–308.
[19]
J. E. Gonzalez, Y. Low, H. Gu, D. Bickson, and C. Guestrin. 2012. PowerGraph: Distributed graph-parallel computation on natural graphs. In Proceedings of the 10th USENIX Conference on Operating Systems Design and Implementation (OSDI’12). USENIX Association, 17–30.
[20]
H. Guclu and M. Yuksel. 2009. Limited scale-free overlay topologies for unstructured peer-to-peer networks. IEEE Trans. Parallel Distrib. Syst. 20, 5 (2009), 667–679.
[21]
R. Guimera and L. A. N. Amaral. 2005. Functional cartography of complex metabolic networks. Nature 433, 7028 (2005), 895–900.
[22]
M. P. Van Den Heuvel, C. J. Stam, M. Boersma, and H. E. Hulshoff Pol. 2008. Small-world and scale-free organization of voxel-based resting-state functional connectivity in the human brain.NeuroImage 43, 3 (2008), 528–539.
[23]
J. Huang, S. Chen, W. Zhong, W. Zhang, S. Diao, and F. Lin. 2016. Floorplanning and topology synthesis for application-specific network-on-chips with RF-interconnect. ACM Trans. Design Autom. Electr. Syst. 21, 3 (2016).
[24]
J. Huang, W. Zhong, Z. Li, and S. Chen. 2018. Lagrangian relaxation-based routing path allocation for application-specific network-on-chips. Integr. VLSI J. 61 (2018), 20–28.
[25]
Michael James, Marvin Tom, Patrick Groeneveld, and Vladimir Kibardin. 2020. ISPD 2020 physical mapping of neural networks on a wafer-scale deep learning accelerator. In Proceedings of the International Symposium on Physical Design (ISPD’20) (Taipei, Taiwan). 145–149.
[26]
Wooyoung Jang and David Z. Pan. 2012. A3MAP: Architecture-aware analytic mapping for networks-on-chip. ACM Trans. Design Autom. Electr. Syst. 17, 3 (2012), 22 pages. https://doi.org/10.1145/2209291.2209299
[27]
N. Jiang, D. U. Becker, G. Michelogiannakis, J. Balfour, and W. J. Dally. 2013. A detailed and flexible cycle-accurate network-on-chip simulator. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS’13). 86–96. https://doi.org/10.1109/ISPASS.2013.6557149
[28]
D. Kim, J. Kung, S. Chai, S. Yalamanchili, and S. Mukhopadhyay. 2016. Neurocube: A programmable digital neuromorphic architecture with high-density 3D memory. In Proceedings of the 43rd International Symposium on Computer Architecture (ISCA’16). IEEE Press, 380–392.
[29]
H. Kim, G. Kim, H. Yeo, J. Kim, and S. Maeng. 2016. Design and analysis of hybrid flow control for hierarchical ring network-on-chip. IEEE Trans. Comput. 65, 2 (2016), 480–494.
[30]
M. A. Kinsy, M. H. Cho, K. S. Shim, M. Lis, G. E. Suh, and S. Devadas. 2013. Optimal and heuristic application-aware oblivious routing. IEEE Trans. Comput. 62, 1 (2013), 59–73.
[31]
F. Kong, G. Han, and J. Shen. 2014. A novel mesh-based hierarchical topology for network-on-chip. In Proceedings of the IEEE 5th International Conference on Software Engineering and Service Science. 1080–1083.
[32]
K. Krĺźger, N. V. Shakhlevich, Y. N. Sotskov, and F. Werner. 1994. Network flows: Theory, algorithms, and applications. J. Operat. Res. Soc. 45, 11 (1994), 1340–1340.
[33]
S. B. Laughlin and T. J. Sejnowski. 2003. Communication in neuronal networks. Science 301, 5641 (2003), 1870–1874. https://doi.org/10.1126/science.1089662
[34]
J. Leskovec and A. Krevl. 2014. SNAP Datasets: Stanford Large Network Dataset Collection. Retrieved from http://snap.stanford.edu/data.
[35]
Y. Li, K. Wang, H. Gu, Y. Yang, N. Su, Y. Chen, and H. Zhang. 2018. A joint optimization method for NoC topology generation. J. Supercomput. 74, 7 (2018), 2916–2934.
[36]
X. Liao, A. V. Vasilakos, and Y. He. 2017. Small-world human brain networks: Perspectives and challenges. Neurosci. Biobehav. Rev. 77 (2017), 286–300.
[37]
W. H. Liu, W. C. Kao, Y. L. Li, and K. Y. Chao. 2013. NCTU-GR 2.0: Multithreaded collision-aware global routing with bounded-length maze routing. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 32, 5 (2013), 709–722.
[38]
S. Ma, L. Huang, M. Lai, W. Shi, and Z. Wang. 2015. Networks-on-Chip. Elsevier, Amsterdam.
[39]
S. Murali and G. De Micheli. 2004. Bandwidth-constrained mapping of cores onto NoC architectures. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE’04). 20896.
[40]
S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, Giovanni De Micheli, and L. Raffo. 2006. Designing application-specific networks on chips with floorplan information. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’06). ACM, New York, NY, 355–362.
[41]
S. Olaf, J. H. Christopher, and K. Rolf. 2007. Identification and classification of hubs in brain networks. PLoS One 2, 10 (Oct. 2007), e1049. https://doi.org/10.1371/journal.pone.0001049
[42]
N. Oshida and S. Ihara. 2006. Packet traffic analysis of scale-free networks for large-scale network-on-chip design. Phys. Rev. E Stat. Nonlin. Soft Matter Phys. 74, 2 (2006), 026115.
[43]
OuHe, SheqinDong, WooyoungJang, JinianBian, and David Z. Pan. 2012. UNISM: Unified scheduling and mapping for general networks on chip. IEEE Trans. Very Large Scale Integr. Syst. 20, 8 (2012), 1496–1509.
[44]
T. Petermann and P. D. L. Rios. 2005. Spatial small-world networks: A wiring-cost perspective. arXiv e-prints (2005). arXiv:cond-mat/0501420.
[45]
A. Roy, I. Mihailovic, and W. Zwaenepoel. 2013. X-Stream: Edge-centric graph processing using streaming partitions. In Proceedings of the 24th ACM Symposium on Operating Systems Principles (SOSP’13). 472–488. https://doi.org/10.1145/2517349.2522740
[46]
P. K. Sahu and S. Chattopadhyay. 2013. A survey on application mapping strategies for Network-on-Chip design. J. Syst. Architect. 59, 1 (2013), 60–76.
[47]
Nadathur Satish, Narayanan Sundaram, Md. Mostofa Ali Patwary, Jiwon Seo, Jongsoo Park, M. Amber Hassaan, Shubho Sengupta, Zhaoming Yin, and Pradeep Dubey. 2014. Navigating the maze of graph analytics frameworks using massive graph datasets. In Proceedings of the ACM SIGMOD International Conference on Management of Data. 979–990. https://doi.org/10.1145/2588555.2610518
[48]
J. Sawada, F. Akopyan, A. S. Cassidy, B. Taba et al. 2016. TrueNorth ecosystem for brain-inspired computing: Scalable systems, software, and applications. In Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC’16). 130–141.
[49]
K. Simonyan and A. Zisserman. 2014. Very deep convolutional networks for large-scale image recognition. Retrieved from https://arxiv:1409.1556.
[50]
O. Sporns and R. F. Betzel. 2016. Modular brain networks. Annu. Rev. Psychol. 67, 1 (2016), 613–640.
[51]
D. Starobinski, M. Karpovsky, and L. A. Zakrevski. 2003. Application of network calculus to general topologies using turn-prohibition. IEEE/ACM Trans. Netw. 11, 3 (June 2003), 411–421.
[52]
C. Teuscher. 2007. Nature-inspired interconnects for self-assembled large-scale network-on-chip designs. Chaos 17, 2 (2007), 114–117.
[53]
S. Tosun, V. B. Ajabshir, O. Mercanoglu, and O. Ozturk. 2015. Fault-tolerant topology generation method for application-specific network-on-chips. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 34, 9 (2015), 1495–1508. https://doi.org/10.1109/TCAD.2015.2413848
[54]
S. Tosun, O. Ozturk, and M. Ozen. 2009. An ILP formulation for application mapping onto Network-on-Chips. In Proceedings of the International Conference on Application of Information and Communication Technologies. 1–5. https://doi.org/10.1109/ICAICT.2009.5372524
[55]
Martijn P. Van, den Heuvel and Olaf Sporns. 2013. Network hubs in the human brain. Trends Cogn. Sci. 17, 12 (2013), 683–696.
[56]
X. Wang, Y. Sun, H. Gu, and Z. Liu. 2018. WOAGA: A new metaheuristic mapping algorithm for large-scale mesh-based NoC. IEICE Electronics Express 15, 17 (2018), 20180738–20180738.
[57]
D. J. Watts and S. H. Strogatz. 1998. Collective dynamics of small world networks. Nature 393, 6684 (1998), 440–442.
[58]
C. Wu, C. Deng, L. Liu, J. Han, J. Chen, S. Yin, and S. Wei. 2015. An efficient application mapping approach for the co-optimization of reliability, energy, and performance in reconfigurable NoC architectures. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 34, 8 (2015), 1264–1277.
[59]
Y. Xiao, S. Nazarian, and P. Bogdan. 2018. Prometheus: Processing-in-memory heterogeneous architecture design from a multi-layer network theoretic strategy. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE’18). 1387–1392. https://doi.org/10.23919/DATE.2018.8342229
[60]
Y. Xue, Z. Qian, P. Bogdan, F. Ye, and C. Tsui. 2014. Disease diagnosis-on-a-chip: Large scale networks-on-chip based multicore platform for protein folding analysis. In Proceedings of the 51st Annual Design Automation Conference (DAC’14). 1–6.
[61]
Y. Zhang, X. Hong, Z. Chen, Z. Peng, and J. Jiang. 2020. A deterministic-path routing algorithm for tolerating many faults on very-large-scale network-on-chip. ACM Trans. Design Autom. Electr. Syst. 26, 1 (Oct. 2020), 26 pages. https://doi.org/10.1145/3414060
[62]
W. Zhong, S. Chen, B. Huang, T. Yoshimura, and S. Goto. 2013. Floorplanning and topology synthesis for application-specific network-on-chips. IEICE Trans. Fund. Electr. Commun. Comput. Sci. E96-A (2013), 1174–1184. https://doi.org/10.1587/transfun.E96.A.1174

Cited By

View all

Index Terms

  1. Synthesizing Brain-network-inspired Interconnections for Large-scale Network-on-chips

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 27, Issue 1
      January 2022
      230 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/3483335
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 15 October 2021
      Accepted: 01 July 2021
      Revised: 01 May 2021
      Received: 01 February 2021
      Published in TODAES Volume 27, Issue 1

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Network-on-chip
      2. brain network
      3. scale-free
      4. small-world
      5. modularity
      6. topology generation

      Qualifiers

      • Research-article
      • Refereed

      Funding Sources

      • National Key R&D Program of China
      • National Natural Science Foundation of China (NSFC)
      • Strategic Priority Research Program of Chinese Academy of Sciences

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)42
      • Downloads (Last 6 weeks)4
      Reflects downloads up to 01 Jan 2025

      Other Metrics

      Citations

      Cited By

      View all

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      HTML Format

      View this article in HTML Format.

      HTML Format

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media