skip to main content
survey

Probabilistic Worst-Case Timing Analysis: Taxonomy and Comprehensive Survey

Published: 13 February 2019 Publication History

Abstract

The unabated increase in the complexity of the hardware and software components of modern embedded real-time systems has given momentum to a host of research in the use of probabilistic and statistical techniques for timing analysis. In the last few years, that front of investigation has yielded a body of scientific literature vast enough to warrant some comprehensive taxonomy of motivations, strategies of application, and directions of research. This survey addresses this very need, singling out the principal techniques in the state of the art of timing analysis that employ probabilistic reasoning at some level, building a taxonomy of them, discussing their relative merit and limitations, and the relations among them. In addition to offering a comprehensive foundation to savvy probabilistic timing analysis, this article also identifies the key challenges to be addressed to consolidate the scientific soundness and industrial viability of this emerging field.

References

[1]
2013. Advanced Cockpit for Reduction of Stress and Workload. Retrieved on May, 2017 from http://www.across-fp7.eu/.
[2]
2016. QUALCOMM Snapdragon 820 Automotive Processor. Retrieved on May, 2017 from https://www.qualcomm.com/products/snapdragon/processors/820-automotive.
[3]
2016. RENESAS R-Car H3. Retrieved on May, 2017 from https://www.renesas.com/en-us/solutions/automotive/products/rcar-h3.html.
[4]
2017. NVIDIA DRIVE PX. Scalable Supercomputer for Autonomous Driving. Retrieved from http://www.nvidia.com/object/drive-px.html.
[5]
H. Abarbanel, S. Koonin, H. Levine, G. MacDonald, and O. Rothaus. 1992. Statistics of Extreme Events with Application to Climate. MITRE CORP MCLEAN VA JASON PROGRAM OFFICE. http://www.dtic.mil/cgi-bin/GetTRDoc?Location=U28doc=GetTRDoc.pdf8AD=ADA247342.
[6]
J. Abella, D. Hardy, I. Puaut, E. Quiñones, and F. J. Cazorla. 2014. On the comparison of deterministic and probabilistic WCET estimation techniques. In Euromicro Conference on Real-Time Systems (ECRTS’14).
[7]
Jaume Abella, Carles Hernández, Eduardo Quiñones, Francisco J. Cazorla, Philippa Ryan Conmy, Mikel Azkarate-askasua, Jon Perez, Enrico Mezzetti, and Tullio Vardanega. 2015. WCET analysis methods: Pitfalls and challenges on their trustworthiness. In 10th IEEE International Symposium on Industrial Embedded Systems (SIES’15).
[8]
J. Abella, M. Padilla, J. Del Castillo, and F. J. Cazorla. 2017. Measurement-based worst-case execution time estimation using the coefficient of variation. ACM Transactions on Design Automation of Electronic Systems (TODAES) 2, 4 (2017).
[9]
J. Abella, E. Quiñones, F. Wartel, T. Vardanega, and F. J. Cazorla. 2014. Heart of gold: Making the improbable happen to increase confidence in MBPTA. In Euromicro Conference on Real-Time Systems (ECRTS’14).
[10]
I. Agirre, M. Azkarate-askasua, C. Hernández, J. Abella, J. Perez, T. Vardanega, and F. J. Cazorla. 2015. IEC-61508 SIL 3 compliant pseudo-random number generators for probabilistic timing analysis. In 2015 Euromicro Conference on Digital System Design (DSD’15).
[11]
I. Agirre, M. Azkarate-askasua, A. Larrucea, J. Perez, T. Vardanega, and F. J. Cazorla. 2016. Automotive safety concept definition for mixed-criticality integration on a COTS multicore. In Computer Safety, Reliability, and Security—SAFECOMP Workshops, ASSURE, DECSoS, SASSUR, and TIPS.
[12]
B. Akesson, A. Hansson, and K. Goossens. 2009. Composable resource sharing based on latency-rate servers. In 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools (DSD’11).
[13]
M. A. Alam, K. Roy, and C. Augustine. 2011. Reliability and process-variation aware design of integrated circuits. In Reliability Physics Symposium (IRPS’11)).
[14]
S. Altmeyer, L. Cucu-Grosjean, and R. I. Davis. 2015. Static probabilistic timing analysis for real-time systems using random replacement caches. Real-Time Systems 51, 1 (2015).
[15]
Sebastian Altmeyer and Robert I. Davis. 2014. On the correctness, optimality and precision of static probabilistic timing analysis. In Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE’14).
[16]
H. Anwar, C. Chen, and G. Beltrame. 2015. A probabilistically analysable cache implementation on FPGA. In International New Circuits and Systems Conference (NEWCAS’15).
[17]
ARM. 2015. ARM Expects Vehicle Compute Performance to Increase 100x in Next Decade. Technical Report. ARM. https://www.arm.com/about/newsroom/arm-expects-vehicle-compute-performance-to-increase-100x-in-next-decade.php.
[18]
P. Benedicte, L. Kosmidis, E. Quiñones, J. Abella, and F. J. Cazorla. 2016. A confidence assessment of WCET estimates for software time randomized caches. In International Conference on Industrial Informatics (INDIN’16).
[19]
P. Benedicte, L. Kosmidis, E. Quiñones, J. Abella, and F. J. Cazorla. 2016. Modelling the confidence of timing analysis for time randomised caches. In Symposium on Industrial Embedded Systems (SIES’16).
[20]
G. Benktander and C. O. Segerdahl. 1960. On the analytical representation of claim distributions with special reference to excess of loss reinsurance. In XVIth International Congress of Actuaries.
[21]
K. Berezovskyi, L. Santinelli, K. Bletsas, and E. Tovar. 2014. WCET measurement-based and extreme value theory characterisation of CUDA kernels. In Conference on Real-Time Networks and Systems (RTNS’14).
[22]
G. Bernat, A. Colin, and S. M. Petters. 2002. WCET analysis of probabilistic hard real-time system. In Real-Time Systems Symposium (RTSS’02).
[23]
F. Bodin and A. Seznec. 1997. Skewed associativity improves program performance and enhances predictability. IEEE Transactions on Computers 46, 5 (1997).
[24]
A. Burns, G. Bernat, and I. Broster. 2003. A probabilistic framework for schedulability analysis. In Embedded Software, Rajeev Alur and Insup Lee (Eds.). Springer, Berlin, Berlin, 1--15.
[25]
F. J. Cazorla, T. Vardanega, E. Quiñones, and J. Abella. 2013. Upper-bounding program execution time with extreme value theory. In 13th International Workshop on Worst-Case Execution Time Analysis (WCET’13).
[26]
F. J. Cazorla, et al. 2013. PROARTIS: Probabilistically analyzable real-time systems. ACM Transactions on Embedded Computing Systems 12, 2s (2013).
[27]
Certification Authorities Software Team. 2016. CAST-32A Multi-core Processors.
[28]
J. M. Chambers. 1983. Graphical Methods for Data Analysis. Wadsworth, Belmont, CA.
[29]
Robert N. Charette. 2009. This car runs on code. In IEEE Spectrum (online). http://spectrum.ieee.org/transportation/systems/this-car-runs-on-code.
[30]
C. Chen, J. Panerati, and G. Beltrame. 2016. Effects of online fault detection mechanisms on probabilistic timing analysis. In Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT’16).
[31]
C. Chen, L. Santinelli, J. Hugues, and G. Beltrame. 2016. Static probabilistic timing analysis in presence of faults. In Symposium on Industrial Embedded Systems (SIES’16).
[32]
S. Coles. 2001. An Introduction to Statistical Modelling of Extreme Values. Springer.
[33]
F. Cros, L. Kosmidis, F. Wartel, D. Morales, J. Abella, I. Broster, and F. J. Cazorla. 2017. Dynamic software randomisation: Lessons learned from an aerospace case study. In Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE’17).
[34]
L. Cucu-Grosjean, L. Santinelli, M. Houston, C. Lo, T. Vardanega, L. Kosmidis, J. Abella, E. Mezzetti, E. Quiñones, and F. J. Cazorla. 2012. Measurement-based probabilistic timing analysis for multi-path programs. In Euromicro Conference on Real-Time Systems (ECRTS’12).
[35]
L. David and I. Puaut. 2004. Static determination of probabilistic execution times. In Euromicro Conference on Real-Time Systems (ECRTS’04).
[36]
Robert I. Davis, Luca Santinelli, Sebastian Altmeyer, Claire Maiza, and Liliana Cucu-Grosjean. 2013. Analysis of probabilistic cache related pre-emption delays. In Euromicro Conference on Real-Time Systems (ECRTS’13).
[37]
J. T. de Oliveira. 1984. Statistical extremes and applications. In NATO ASI Series, Mathematical and Physical Sciences, Vol. 131. Springer.
[38]
J. del Castillo and M. Padilla. 2016. Modelling extreme values by the residual coefficient of variation. Statistics and Operations Research Transactions (SORT) 40, 2 (2016), 303--320. ISSN 1696-2281.
[39]
F. Despaux, Y. Song, and A. Lahmadi. 2015. Extracting Markov chain models from protocol execution traces for end to end delay evaluation in wireless sensor networks. In World Conference on Factory Communication Systems (WFCS’15).
[40]
E. Diaz, M. Fernandez, L. Kosmidis, E. Mezzetti, C. Hernandez, J. Abella, and F. J. Cazorla. 2017. MC2: Multicore and cache analysis via deterministic and probabilistic jitter bounding. In Ada Europe, 22nd International Conference on Reliable Software Technologies.
[41]
J. Diebolt, M. Garrido, and S. Girard. 2007. A goodness-of-fit test for the distribution tail. In Topics in Extreme Values, (Chapter 5), M. Ahsanullah and S. N. U. A. Kirmani (Eds.). Nova Science, New York.
[42]
M. Duranton, K. De Bosschere, C. Gamrat, J. Maebe, H. Munk, and O. Zendra. 2017. HiPEAC Vision 2017.
[43]
Daniel L. Dvorak. 2009. NASA Study on Flight Software Complexity. Final Report. Technical Report. NASA.
[44]
S. Edgar and A. Burns. 2001. Statistical analysis of WCET for scheduling. In Real-Time Systems Symposium (RTSS’01).
[45]
P. Embrechts, T. Mikosch, and C. Klüppelberg. 1997. Modelling Extremal Events: For Insurance and Finance. Springer-Verlag, London, UK.
[46]
E. S. Epstein. 1969. A scoring system for probability forecasts of ranked categories. Journal of Applied Meteorology 8, 6 (1969).
[47]
Rolf Ernst and Marco Di Natale. 2016. Mixed criticality systems—A history of misconceptions? IEEE Design 8 Test 33, 5 (2016), 65--74.
[48]
M. Fernández, D. Morales, L. Kosmidis, A. Bardizbanyan, I. Broster, C. Hernández, E. Quiñones, J. Abella, F. J. Cazorla, P. Machado, and L. Fossati. 2017. Probabilistic timing analysis on time-randomized platforms for the space domain. In Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE’17).
[49]
R. A. Fisher and L. H. Tippett. 1928. Limiting forms of the frequency distribution of the largest or smallest member of a sample. Mathematical Proceedings of the Cambridge Philosophical Society 24, 2 (1928).
[50]
Patrick J. Graydon and Iain Bate. 2014. Realistic safety cases for the timing of systems. The Computer Journal 57, 5 (2014).
[51]
D. Griffin and A. Burns. 2010. Realism in statistical analysis of worst case execution times. In 10th International Workshop on Worst-Case Execution Time Analysis (WCET’10).
[52]
D. Griffin, B. Lesage, A. Burns, and R. I. Davis. 2014. Static probabilistic timing analysis of random replacement caches using lossy compression. In International Conference on Real-Time Networks and Systems (RTNS’14).
[53]
F. Guet, L. Santinelli, and J. Morio. 2016. On the reliability of the probabilistic worst-case execution time estimates. In 8th European Congress on Embedded Real Time Software and Systems (ERTS’16).
[54]
Jan Gustafsson, Adam Betts, Andreas Ermedahl, and Björn Lisper. 2010. The Mälardalen WCET benchmarks—Past, present and future. In 10th International Workshop on Worst-Case Execution Time Analysis.
[55]
J. P. Hansen, S. A. Hissam, and G. A. Moreno. 2009. Statistical-based WCET estimation and validation. In Workshop on Worst-Case Execution Time (WCET) Analysis.
[56]
D. Hardy and I. Puaut. 2008. WCET analysis of multi-level non-inclusive set-associative instruction caches. In The 29th IEEE Real-Time Systems Symposium (RTSS’08).
[57]
D. Hardy and I. Puaut. 2015. Static probabilistic worst case execution time estimation for architectures with faulty instruction caches. Real-Time Systems 51, 2 (2015).
[58]
D. Hardy, I. Puaut, and Y. Sazeides. 2016. Probabilistic WCET estimation in presence of hardware for mitigating the impact of permanent faults. In Design, Automation Test in Europe Conference Exhibition (DATE’16).
[59]
Carles Hernández, Jaume Abella, Andrea Gianarro, Jan Andersson, and Francisco J. Cazorla. 2016. Random modulo: A new processor cache design for real-time critical systems. In Design Automation Conference (DAC’16).
[60]
K. Höfig. 2012. Failure-Dependent Timing Analysis—A New Methodology for Probabilistic Worst-Case Execution Time Analysis. Springer, Berlin, 61--75.
[61]
Intel. 2016. Intel GO Automated Driving Solution Product Brief. Retrieved on May, 2017 from https://www.intel.es/content/dam/www/public/us/en/documents/platform-briefs/go-automated-accelerated-product-brief.pdf.
[62]
International Organization for Standardization. 2009. ISO/DIS 26262. Road Vehicles—Functional Safety.
[63]
Javier Jalle, Leonidas Kosmidis, Jaume Abella, Eduardo Quiñones, and Francisco J. Cazorla. 2014. Bus designs for time-probabilistic multicore processors. In Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE’14).
[64]
L. Kosmidis, J. Abella, E. Quiñones, and F. J. Cazorla. 2013. A cache design for probabilistically analysable real-time systems. In Design, Automation and Test in Europe (DATE’13).
[65]
Leonidas Kosmidis, Jaume Abella, Eduardo Quiñones, and Francisco J. Cazorla. 2013. Multi-level unified caches for probabilistically time analysable real-time systems. In Real-Time Systems Symposium (RTSS’13).
[66]
L. Kosmidis, J. Abella, E. Quiñones, and F. J. Cazorla. 2014. Efficient cache designs for probabilistically analysable real-time systems. IEEE Transactions on Computers 63, 12.
[67]
L. Kosmidis, J. Abella, F. Wartel, E. Quiñones, A. Colin, and F. J. Cazorla. 2014. PUB: Path upper-bounding for measurement-based probabilistic timing analysis. In Euromicro Conference on Real-Time Systems (ECRTS’14).
[68]
L. Kosmidis, D. Compagnin, D. Morales, E. Mezzetti, E. Quiñones, J. Abella, T. Vardanega, and F. J. Cazorla. 2016. Measurement-based timing analysis of the AURIX caches. In 16th International Workshop on Worst-Case Execution Time Analysis (WCET’16).
[69]
L. Kosmidis, C. Curtsinger, E. Quiñones, J. Abella, E. D. Berger, and F. J. Cazorla. 2013. Probabilistic timing analysis on conventional cache designs. In Design, Automation and Test in Europe (DATE’13).
[70]
L. Kosmidis, E. Quiñones, J. Abella, G. Farrall, F. Wartel, and F. J. Cazorla. 2014. Containing timing-related certification cost in automotive systems deploying complex hardware. In Design Automation Conference (DAC’14).
[71]
L. Kosmidis, E. Quiñones, J. Abella, T. Vardanega, I. Broster, and F. J. Cazorla. 2014. Measurement-based probabilistic timing analysis and its impact on processor architecture. In Euromicro Conference on Digital System Design (DSD’14).
[72]
Leonidas Kosmidis, Eduardo Quiñones, Jaume Abella, Tullio Vardanega, and Francisco J. Cazorla. 2013. Achieving timing composability with measurement-based probabilistic timing analysis. In ISORC. IEEE Computer Society.
[73]
S. Kotz and S. Nadarajah. 2000. Extreme Value Distributions: Theory and Applications. World Scientific.
[74]
Kanishka Lahiri, Anand Raghunathan, and Ganesh Lakshminarayana. 2006. The LOTTERYBUS on-chip communication architecture. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14, 6.
[75]
Stephen Law and Iain Bate. 2016. Achieving appropriate test coverage for reliable measurement-based timing analysis. In Euromicro Conference on Real-Time Systems (ECRTS’16).
[76]
Benjamin Lesage, David Griffin, Sebastian Altmeyer, and Robert I. Davis. 2015. Static probabilistic timing analysis for multi-path programs. In 2015 IEEE Real-Time Systems Symposium (RTSS’15).
[77]
B. Lesage, D. Griffin, F. Soboczenski, I. Bate, and R. I. Davis. 2015. A framework for the evaluation of measurement-based timing analyses. In Real Time and Networks Systems (RTNS’15).
[78]
G. Lima and I. Bate. 2017. Valid application of EVT in timing analysis by randomising execution time measurements. In Real-Time and Embedded Technology and Applications Symposium (RTAS’17).
[79]
G. Lima, D. Dias, and E. Barros. 2016. Extreme value theory for estimating task execution time bounds: A careful look. In Euromicro Conference on Real-Time Systems (ECRTS’16).
[80]
José María López, José Luis Díaz, Joaquín Entrialgo, and Daniel García. 2008. Stochastic analysis of real-time systems under preemptive priority-driven scheduling. Real-Time Systems 40, 2 (2008).
[81]
Y. Lu, T. Nolte, I. Bate, and L. Cucu-Grosjean. 2011. A new way about using statistical analysis of worst-case execution times. SIGBED Review 8, 3 (2011).
[82]
Y. Lu, T. Nolte, I. Bate, and L. Cucu-Grosjean. 2012. A statistical response-time analysis of real-time embedded systems. In 33rd Real-Time Systems Symposium.
[83]
C. Maxim, A. Gogonel, I. Asavoae, M. Asavoae, L. Cucu-Grosjean, and W. Talaboulma. 2016. Reproducibility and representativity—Mandatory properties for the compositionality of measurement-based WCET estimation approaches. In 9th International Workshop on Compositional Theory and Technology for Real-Time Embedded System (CRTS’16).
[84]
Dorin Maxim, Mike Houston, Luca Santinelli, Guillem Bernat, Robert I. Davis, and Liliana Cucu-Grosjean. 2012. Re-sampling for statistical timing analysis of real-time systems. In Real-Time and Network Systems (RTNS’12).
[85]
A. Melani, E. Noulard, and L. Santinelli. 2013. Learning from probabilities: Dependences within real-time systems. In Emerging Technologies Factory Automation (ETFA’13).
[86]
E. Mezzetti, N. Holsti, A. Colin, G. Bernat, and T. Vardanega. 2008. Attacking the sources of unpredictability in the instruction cache behavior. In Real-Time and Network Systems (RTNS’08).
[87]
Enrico Mezzetti and Tullio Vardanega. 2013. A rapid cache-aware procedure positioning optimization to favor incremental development. In Real-Time and Embedded Technology and Applications Symposium (RTAS’13).
[88]
Enrico Mezzetti, Marco Ziccardi, Tullio Vardanega, Jaume Abella, Eduardo Quiñones, and Francisco J. Cazorla. 2015. Randomized caches can be pretty useful to hard real-time systems. Leibniz Transactions on Embedded Systems 2, 1 (2015).
[89]
S. Milutinovic, J. Abella, I. Agirre, M. Azkarate-Askasua, E. Mezzetti, T. Vardanega, and F. J. Cazorla. 2017. Software time reliability in the presence of cache memories. In Ada Europe, 22nd International Conference on Reliable Software Technologies.
[90]
S. Milutinovic, J. Abella, and F. J. Cazorla. 2016. Modelling probabilistic cache representativeness in the presence of arbitrary access patterns. In 19th IEEE International Symposium on Real-Time Distributed Computing (ISORC’16).
[91]
Suzana Milutinovic, Jaume Abella, Damien Hardy, Eduardo Quiñones, Isabelle Puaut, and Francisco J. Cazorla. 2015. Speeding up static probabilistic timing analysis. In Architecture of Computing Systems - ARCS.
[92]
S. Milutinovic, E. Mezzetti, J. Abella, T. Vardanega, and F. J. Cazorla. 2017. On uses of extreme value theory fit for industrial-quality WCET analysis. In Symposium on Industrial Embedded Systems (SIES’17).
[93]
J. Nowotsch, M. Paulitsch, D. Bühler, H. Theiling, S. Wegener, and M. Schmidt. 2014. Multi-core interference-sensitive WCET analysis leveraging runtime resource capacity enforcement. In Euromicro Conference on Real-Time Systems.
[94]
M. Panic, J. Abella, C. Hernández, E. Quiñones, T. Ungerer, and F. J. Cazorla. 2015. Enabling TDMA arbitration in the context of MBPTA. In Euromicro Conference on Digital System Design (DSD’15).
[95]
Marco Paolieri, Eduardo Quiñones, Francisco J. Cazorla, Guillem Bernat, and Mateo Valero. 2009. Hardware support for WCET analysis of hard real-time multicore systems. In International Symposium on Computer Architecture (ISCA’09).
[96]
K. Pearson. 1900. X. On the criterion that a given system of deviations from the probable in the case of a correlated system of variables is such that it can be reasonably supposed to have arisen from random sampling. Philosophical Magazine 50, 302 (1900), 157--175.
[97]
J. Pickands. 1975. Statistical inference using extreme order statistics. The Annals of Statistics 3, 1 (1975), 119--131.
[98]
Jason Poovey, et al. 2007. Characterization of the EEMBC Benchmark Suite. North Carolina State University.
[99]
E. Quiñones, E. D. Berger, G. Bernat, and F. J. Cazorla. 2009. Using randomized caches in probabilistic real-time systems. In Euromicro Conference on Real-Time Systems (ECRTS’09).
[100]
Khaled S. Refaat and Pierre-Emmanuel Hladik. 2010. Efficient stochastic analysis of real-time systems via random sampling. In Euromicro Conference on Real-Time Systems (ECRTS’10).
[101]
Jan Reineke. 2014. Randomized caches considered harmful in hard real-time systems. Leibniz Transactions on Embedded Systems 1, 1 (2014).
[102]
L. Santinelli, F. Guet, and J. Morio. 2017. Revising measurement-based probabilistic timing analysis. In Real-Time and Embedded Technology and Applications Symposium (RTAS’17).
[103]
L. Santinelli, J. Morio, G. Dufour, and D. Jacquemart. 2014. On the sustainability of the extreme value theory for WCET estimation. In 14th International Workshop on Worst-Case Execution Time Analysis, Vol. 39.
[104]
M. Schlansker, R. Shaw, and S. Sivaramakrishnan. 1993. Randomization and Associativity in the Design of Placement-insensitive Caches. Technical Report. HP Report, HPL-93-41.
[105]
M. Slijepcevic, M. Fernández, C. Hernández, J. Abella, E. Quiñones, and F. J. Cazorla. 2016. pTNoC: Probabilistically time-analyzable tree-based NoC for mixed-criticality systems. In Euromicro Conference on Digital System Design.
[106]
M. Slijepcevic, L. Kosmidis, J. Abella, E. Quiñones, and F. J. Cazorla. 2014. Time-analysable non-partitioned shared caches for real-time multicore systems. In Design Automation Conference (DAC’14).
[107]
M. Slijepcevic, L. Kosmidis, J. Abella, E. Quiñones, and F. J. Cazorla. 2014. Timing verification of fault-tolerant chips for safety-critical applications in harsh environments. IEEE Micro 34, 6 (2014).
[108]
Z. R. Stephenson, J. Abella, and T. Vardanega. 2013. Supporting industrial use of probabilistic timing analysis with explicit argumentation. In 11th IEEE International Conference on Industrial Informatics (INDIN’13).
[109]
N. Topham and A. Gonzalez. 1999. Randomized cache placement for eliminating conflicts. IEEE Transactions on Computers 48, 2 (1999).
[110]
B. Villalba Frias, L. Palopoli, L. Abeni, and D. Fontanelli. 2017. Probabilistic real-time guarantees: There is life beyond the i.i.d. assumption. In Real-Time and Embedded Technology and Applications Symposium (RTAS’17).
[111]
F. Wartel, L. Kosmidis, A. Gogonel, A. Baldovin, Z. R. Stephenson, B. Triquet, E. Quiñones, C. Lo, E. Mezzetti, I. Broster, J. Abella, L. Cucu-Grosjean, T. Vardanega, and F. J. Cazorla. 2015. Timing analysis of an avionics case study on complex hardware/software platforms. In Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE’15).
[112]
Reinhard Wilhelm, et al. 2008. The worst-case execution time problem: Overview of methods and survey of tools. ACM TECS 7, 3 (2008).
[113]
S. Zhou. 2010. An Efficient Simulation Algorithm for Cache of Random Replacement Policy. Springer, Berlin.
[114]
M. Ziccardi, E. Mezzetti, T. Vardanega, J. Abella, and F. J. Cazorla. 2015. EPC: Extended path coverage for measurement-based probabilistic timing analysis. In Real-Time Systems Symposium (RTSS’15).

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Computing Surveys
ACM Computing Surveys  Volume 52, Issue 1
January 2020
758 pages
ISSN:0360-0300
EISSN:1557-7341
DOI:10.1145/3309872
  • Editor:
  • Sartaj Sahni
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 13 February 2019
Accepted: 01 October 2018
Revised: 01 August 2018
Received: 01 July 2017
Published in CSUR Volume 52, Issue 1

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Worst-case execution time
  2. probabilistic analysis

Qualifiers

  • Survey
  • Research
  • Refereed

Funding Sources

  • Spanish Ministry of Science and Innovation
  • HiPEAC Network of Excellence
  • Spanish Ministry of Economy and Competitiveness under Juan de la Cierva-Incorporación postdoctoral fellowship
  • research and innovation programme
  • European Research Council (ERC) under the European Union’s Horizon 2020
  • Ministry of Economy and Competitiveness under a Ramon y Cajal postdoctoral fellowship

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)68
  • Downloads (Last 6 weeks)10
Reflects downloads up to 16 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Artificial Intelligence for Safety-Critical Systems in Industrial and Transportation Domains: A SurveyACM Computing Surveys10.1145/362631456:7(1-40)Online publication date: 9-Apr-2024
  • (2024)WCET estimation using support vector regression based on Legendre orthogonal kernel functions2024 20th CSI International Symposium on Artificial Intelligence and Signal Processing (AISP)10.1109/AISP61396.2024.10475211(1-7)Online publication date: 21-Feb-2024
  • (2024)Toward Linux-based safety-critical systems—Execution time variability analysis of Linux system callsJournal of Systems Architecture10.1016/j.sysarc.2024.103266156(103266)Online publication date: Nov-2024
  • (2024)On vulnerabilities in EVT-based timing analysis: an experimental investigation on a multi-core architectureDesign Automation for Embedded Systems10.1007/s10617-023-09277-528:1(1-21)Online publication date: 1-Mar-2024
  • (2024)Designing Real-Time Neural Networks by Efficient Neural Architecture SearchAdvanced Intelligent Computing Technology and Applications10.1007/978-981-97-5591-2_6(62-73)Online publication date: 5-Aug-2024
  • (2023)SAFEXPLAIN: Safe and Explainable Critical Embedded Systems Based on AI2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137128(1-6)Online publication date: Apr-2023
  • (2023)Taking 5G RAN Analytics and Control to a New LevelProceedings of the 29th Annual International Conference on Mobile Computing and Networking10.1145/3570361.3592493(1-16)Online publication date: 2-Oct-2023
  • (2023)IRQ Coloring and the Subtle Art of Mitigating Interrupt-Generated Interference2023 IEEE 29th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA)10.1109/RTCSA58653.2023.00015(47-56)Online publication date: 30-Aug-2023
  • (2023)Work in progress: Towards a statistical worst-case energy consumption model2023 IEEE 29th Real-Time and Embedded Technology and Applications Symposium (RTAS)10.1109/RTAS58335.2023.00034(333-336)Online publication date: May-2023
  • (2023)On the impact of hardware-related events on the execution of real-time programsDesign Automation for Embedded Systems10.1007/s10617-023-09281-927:4(275-302)Online publication date: 1-Dec-2023
  • Show More Cited By

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media