skip to main content
research-article

Partially Invariant Patterns for LFSR-Based Generation of Close-to-Functional Broadside Tests

Published: 29 May 2018 Publication History

Abstract

Close-to-functional scan-based tests are expected to create close-to-functional operation conditions in order to avoid overtesting of delay faults. Existing metrics for the proximity to functional operation conditions are based on the scan-in state. For example, they consider the distance between the scan-in state and a reachable state (a state that the circuit can visit during functional operation). However, the deviation from functional operation conditions can increase during a test beyond the deviation that is measured by the scan-in state. To ensure that the deviation does not increase, this article introduces the concept of a partially invariant pattern. The article describes a procedure for extracting partially invariant patterns from functional broadside tests whose scan-in states are reachable states. Being partially specified, partially invariant patterns are suitable for test data compression. The article studies the use of partially invariant patterns for linear-feedback shift-register (LFSR) based test data compression. Noting that a seed may not exist for a given partially invariant pattern with a given LFSR, the procedure described in this article uses an iterative process that not only matches a seed to a partially invariant pattern, but also adjusts the partially invariant pattern based on the test that the seed produces. The article also addresses the selection of LFSRs for the generation of close-to-functional broadside tests based on partially invariant patterns. Experimental results are presented to demonstrate the feasibility of the procedure.

References

[1]
J. Rearick. 2001. Too much delay fault coverage is a bad thing. In Proceedings of the International Test Conference. 624--633.
[2]
J. Saxena, K. M. Butler, V. B. Jayaram, S. Kundu, N. V. Arvind, P. Sreeprakash, and M. Hachinger. 2003. A case study of IR-drop in structured at-speed testing. In Proceedings of the International Test Conference. 1098--1104.
[3]
S. Sde-Paz and E. Salomon. 2008. Frequency and power correlation between at-speed scan and functional tests. In Proceedings of the International Test Conference. 1--9.
[4]
I. Pomeranz. 2004. On the generation of scan-based test sets with reachable states for testing under functional operation conditions. In Proceedings of the Design Automation Conference. 928--933.
[5]
Y.-C. Lin, F. Lu, K. Yang, and K.-T. Cheng. 2005. Constraint extraction for pseudo-functional scan-based delay testing. In Proceedings of the Asia and South Pacific Design Automation Conference. 166--171.
[6]
Z. Zhang, S. M. Reddy, and I. Pomeranz. 2005. On generating pseudo-functional delay fault tests for scan designs. In Proceedings of the International Symposiumon Defect and Fault Tolerance in VLSI Systems. 398--405.
[7]
I. Polian and F. Fujiwara. 2006. Functional constraints vs. test compression in scan-based delay testing. In Proceedings of the Design, Automation and Test in Europe Conference. 1--6.
[8]
I. Pomeranz and S. M. Reddy. 2006. Generation of functional broadside tests for transition faults. IEEE Trans. on Computer-Aided Design 25, 10 (2006), 2207--2218.
[9]
I. Pomeranz and S. M. Reddy. 2009. Definition and generation of partially-functional broadside tests. IET Computers 8 Digital Techniques 3, 1 (2009), 1--13.
[10]
I. Pomeranz and S. M. Reddy. 2010. On reset based functional broadside tests. In Proceedings of the Design, Automation and Test in Europe Conference. 1438--1443.
[11]
E. K. Moghaddam, J. Rajski, S. M. Reddy, and M. Kassab. 2010. At-speed scan test with low switching activity. In Proceedings of the VLSI Test Symposium. 177--182.
[12]
M. Valka, A. Bosio, L. Dilillo, P. Girard, S. Pravossoudovitch, A. Virazel, E. Sanchez, M. De Carvalho, and M. Sonza Reorda. 2011. A functional power evaluation flow for defining test power limits during at-speed delay testing. In Proceedings of the IEEE European Test Symposium. 153--158.
[13]
T. Zhang and D. M. H. Walker. 2013. Power supply noise control in pseudo functional test. In Proceedings of the VLSI Test Symposium. 1--6.
[14]
I. Pomeranz. 2013. Functional broadside tests with incompletely-specified scan-in states. IEEE Trans. Comput. Aided Des. 32, 9 (2013), 1445--1449.
[15]
A. Touati, A. Bosio, L. Dilillo, P. Girard, A. Virazel, P. Bernardi, and M. Sonza Reorda. 2015. Exploring the impact of functional test programs re-used for power-aware testing. In Proceedings of the Design, Automation and Test in Europe Conference. 1277--1280.
[16]
I. Pomeranz. 2015. Generation of close-to-functional broadside tests with equal primary input vectors. In Proceedings of the Design Automation Conference.
[17]
I. Pomeranz. 2015. Piecewise-functional broadside tests based on reachable states. IEEE Trans. on Computers 64, 8 (2015), 2415--2420.
[18]
I. Pomeranz. 2016. LFSR-Based generation of partially-functional broadside tests. IEEE Trans. Comput. 65, 8 (2016), 2659--2664.
[19]
I. Pomeranz. 2017. Close-to-functional broadside tests with a safety margin. IEEE Trans. Comput. Aided Des. 36, 12 (2017), 2139--2143
[20]
C. Barnhart, V. Brunkhorst, F. Distler, O. Farnsworth, B. Keller, and B. Koenemann. 2001. OPMISR: The foundation for compressed ATPG vectors. In Proceedings of the International Test Conference. 748--757.
[21]
J. Rajski, J. Tyszer, M. Kassab, N. Mukherjee, R. Thompson, K.-H. Tsai, A. Hertwig, N. Tamarapalli, G. Mrugalski, G. Eide, and J. Qian. 2002. Embedded deterministic test for low cost manufacturing test. In Proceedings of the International Test Conference. 301--310.
[22]
X. Sun, L. Kinney, and B. Vinnakota. 2004. Combining dictionary coding and LFSR reseeding for test data compression. In Proceedings of the Design Automation Conference. 944--947.
[23]
C. V. Krishna, A. Jas, and N. A. Touba. 2004. Achieving high encoding efficiency with partial dynamic LFSR reseeding. ACM Trans. Des. Autom. Electron. Syst. 9, 4.
[24]
V. Tenentes, X. Kavousianos, and E. Kalligeros. 2008. State skip LFSRs: Bridging the gap between test data compression and test set embedding for IP cores. In Proceedings of the Design, Automation and Test in Europe Conference. 474--479.
[25]
F. G. Wolff, C. Papachristou, and D. R. McIntyre. 2004. Test compression and hardware decompression for scan-based SoCs. In Proceedings of the Design, Automation and Test in Europe Conference. 716--717.
[26]
M. Nourani and M. H. Tehranipour. 2005. RL-Huffman encoding for test compression and power reduction in scan applications. ACM Trans. Des. Autom. Electron. Syst. 10, 1.
[27]
A. Larsson, E. Larsson, P. Eles, and Z. Peng. 2007. Optimized integration of test compression and sharing for SoC testing. In Proceedings of the Design, Automation and Test in Europe Conference. 1--6.
[28]
Q. Zhou and K. J. Balakrishnan. 2007. Test cost reduction for SoC using a combined approach to test data compression and test scheduling. In Proceedings of the Design, Automation and Test in Europe Conference. 39--44.
[29]
D. Xiang, Z. Chen, and L.-T. Wang. 2012. Scan flip-flop grouping to compress test data and compact test responses for launch-on-capture delay testing. ACM Trans. Des. Autom. Electron. Syst. 17, 2.
[30]
B. Koenemann. 1991. LFSR-coded test patterns for scan designs. In Proceedings of the European Test Conference. 237--242.
[31]
S. Hellebrand, S. Tarnick, J. Rajski, and B. Courtois. 1992. Generation of vector patterns through reseeding of multiple-polynomial linear feedback shift register. In Proceedings of the International Test Conference. 120--129.
[32]
O. Acevedo and D. Kagaris. 2016. On the computation of LFSR characteristic polynomials for built-in deterministic test pattern generation. IEEE Trans. Comput. 65, 2, 664--669.
[33]
X. Lin and J. Rajski. 2012. On utilizing test cube properties to reduce test data volume further. In Proceedings of the Asian Test Symposium. 83--88.
[34]
I. Pomeranz. 2013. Transition fault simulation considering broadside tests as partially-functional broadside tests. IEEE Trans. VLSI Syst. 21, 7 (2013), 1359--1363.

Cited By

View all

Index Terms

  1. Partially Invariant Patterns for LFSR-Based Generation of Close-to-Functional Broadside Tests

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 23, Issue 4
    Special Section on Advances in Physical Design Automation and Regular Papers
    July 2018
    316 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/3217208
    • Editor:
    • Naehyuck Chang
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 29 May 2018
    Accepted: 01 March 2018
    Revised: 01 March 2018
    Received: 01 June 2017
    Published in TODAES Volume 23, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Functional broadside tests
    2. linear-feedback shift-register (LFSR)
    3. test data compression
    4. test generation
    5. transition faults

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)1
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 28 Jan 2025

    Other Metrics

    Citations

    Cited By

    View all

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media