default search action
José Duato
Person information
- affiliation: Polytechnic University of Valencia, Spain
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j135]Jose Rocher-Gonzalez, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, José Duato:
A smart and novel approach for managing incast and in-network congestion through adaptive routing. Future Gener. Comput. Syst. 159: 27-38 (2024) - [c325]Alberto Merino, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, Fei Chen, Yunping Lyu, Long Yan, José Duato:
A Hybrid Solution to Provide End-to-End Flow Control and Congestion Management in High-Performance Interconnection Networks. CCGrid 2024: 8-17 - [c324]Cristina Olmedilla, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, Wenhao Sun, Long Yan, Yunping Lvu, José Duato:
A New Mechanism to Identify Congesting Packets in High-Performance Interconnection Networks. HOTI 2024: 24-32 - 2023
- [j134]Adrián Castelló, Mar Catalán, Manuel F. Dolz, Enrique S. Quintana-Ortí, José Duato:
Analyzing the impact of the MPI allreduce in distributed training of convolutional neural networks. Computing 105(5): 1101-1119 (2023) - [i2]José Duato, José I. Mestre, Manuel F. Dolz, Enrique S. Quintana-Ortí:
GreenLightningAI: An Efficient AI System with Decoupled Structural and Quantitative Knowledge. CoRR abs/2312.09971 (2023) - 2021
- [j133]Adrián Castelló, Enrique S. Quintana-Ortí, José Duato:
Accelerating distributed deep neural network training with pipelined MPI allreduce. Clust. Comput. 24(4): 3797-3813 (2021) - [j132]Cristina Olmedilla, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Alfaro-Cortés, José L. Sánchez, Francisco J. Quiles, Wenhao Sun, Xiang Yu, Yonghui Xu, José Duato:
DVL-Lossy: Isolating Congesting Flows to Optimize Packet Dropping in Lossy Data-Center Networks. IEEE Micro 41(1): 37-44 (2021) - [j131]Tomás Picornell, José Flich, Carles Hernández, José Duato:
Enforcing Predictability of Many-Cores With DCFNoC. IEEE Trans. Computers 70(2): 270-283 (2021) - [j130]Juan-José Crespo, José L. Sánchez, Francisco J. Alfaro-Cortés, José Flich, José Duato:
UPR: deadlock-free dynamic network reconfiguration by exploiting channel dependency graph compatibility. J. Supercomput. 77(11): 12826-12856 (2021) - [c323]Adrián Castelló, Mar Catalán, Manuel F. Dolz, José I. Mestre, Enrique S. Quintana-Ortí, José Duato:
Performance Modeling for Distributed Training of Convolutional Neural Networks. PDP 2021: 99-108 - [c322]Adrián Castelló, Mar Catalán, Manuel F. Dolz, José I. Mestre, Enrique S. Quintana-Ortí, José Duato:
Evaluation of MPI Allreduce for Distributed Training of Convolutional Neural Networks. PDP 2021: 109-116 - 2020
- [j129]Tomás Picornell, José Flich, José Duato, Carles Hernández:
HP-DCFNoC: High Performance Distributed Dynamic TDM Scheduler Based on DCFNoC Theory. IEEE Access 8: 194836-194849 (2020) - [j128]German Maglione Mathey, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, José Duato:
Path2SL: Leveraging InfiniBand Resources to Reduce Head-of-Line Blocking in Fat Trees. IEEE Micro 40(1): 8-14 (2020) - [c321]Cristina Olmedilla, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Alfaro-Cortés, Francisco J. Quiles, José L. Sánchez, Wenhao Sun, Xiang Yu, Yonghui Xu, José Duato:
Optimizing Packet Dropping by Efficient Congesting-Flow Isolation in Lossy Data-Center Networks. Hot Interconnects 2020: 47-54 - [c320]Fei Lei, Dezun Dong, Xiangke Liao, José Duato:
Bundlefly: a low-diameter topology for multicore fiber. ICS 2020: 20:1-20:11 - [i1]Juan-José Crespo, José L. Sánchez, Francisco J. Alfaro-Cortes, José Flich, José Duato:
UPR: Deadlock-Free Dynamic Network Reconfiguration by Exploiting Channel Dependency Graph Compatibility. CoRR abs/2006.02332 (2020)
2010 – 2019
- 2019
- [j127]Francisco J. Andujar, Juan A. Villar, José L. Sánchez, Francisco J. Alfaro, José Duato, Holger Fröning:
Constructing virtual 5-dimensional tori out of lower-dimensional network cards. Concurr. Comput. Pract. Exp. 31(2) (2019) - [j126]Pedro Yébenes, Jose Rocher-Gonzalez, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Alfaro, Francisco J. Quiles, Crispín Gómez Requena, José Duato:
Combining Source-adaptive and Oblivious Routing with Congestion Control in High-performance Interconnects using Hybrid and Direct Topologies. ACM Trans. Archit. Code Optim. 16(2): 17:1-17:26 (2019) - [c319]Adrián Castelló, Manuel F. Dolz, Enrique S. Quintana-Ortí, José Duato:
Theoretical Scalability Analysis of Distributed Deep Convolutional Neural Networks. CCGRID 2019: 534-541 - [c318]Tomás Picornell, José Flich, Carles Hernández, José Duato:
DCFNoC: A Delayed Conflict-Free Time Division Multiplexing Network on Chip. DAC 2019: 95 - [c317]German Maglione Mathey, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco José Quiles Flor, José Duato:
Path2SL: Optimizing Head-of-Line Blocking Reduction in InfiniBand-Based Fat-Tree Networks. Hot Interconnects 2019: 5-8 - [c316]Luis Gonzalez-Naharro, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, José Duato, Wenhao Sun, Xiang Yu, Hewen Zheng:
Modeling Traffic Workloads in Data-center Network Simulation Tools. HPCS 2019: 1036-1042 - [c315]Adrián Castelló, Manuel F. Dolz, Enrique S. Quintana-Ortí, José Duato:
Analysis of model parallelism for distributed neural networks. EuroMPI 2019: 7:1-7:10 - [c314]Luis Gonzalez-Naharro, Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco José Quiles Flor, José Duato, Wenhao Sun, Li Shen, Xiang Yu, Hewen Zheng:
Efficient Dynamic Isolation of Congestion in Lossless DataCenter Networks. NEAT@SIGCOMM 2019: 15-21 - 2018
- [j125]Francisco Candel, Salvador Petit, Julio Sahuquillo, José Duato:
Accurately modeling the on-chip and off-chip GPU memory subsystem. Future Gener. Comput. Syst. 82: 510-519 (2018) - [j124]Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, German Maglione Mathey, José Duato Marín:
Feasible enhancements to congestion control in InfiniBand-based networks. J. Parallel Distributed Comput. 112: 35-52 (2018) - 2017
- [j123]Josué Feliu, Julio Sahuquillo, Salvador Petit, José Duato:
Perf&Fair: A Progress-Aware Scheduler to Enhance Performance and Fairness in SMT Multicores. IEEE Trans. Computers 66(5): 905-911 (2017) - [j122]Albert Esteve, Alberto Ros, María Engracia Gómez, Antonio Robles, José Duato:
TLB-Based Temporality-Aware Classification in CMPs with Multilevel TLBs. IEEE Trans. Parallel Distributed Syst. 28(8): 2401-2413 (2017) - [c313]Carlos Reaño, Federico Silla, José Duato:
Enhancing the rCUDA Remote GPU Virtualization Framework: from a Prototype to a Production Solution. CCGrid 2017: 695-698 - [c312]Francisco J. Andujar, Juan A. Villar, José L. Sánchez, Francisco J. Alfaro, José Duato, Holger Fröning:
A Case Study on Implementing Virtual 5D Torus Networks Using Network Components of Lower Dimensionality. HiPINEB@HPCA 2017: 9-16 - [c311]José Duato:
Speeding up the execution of numerical computations and simulations with rCUDA. DCNET 2017: 9 - 2016
- [j121]Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Luis March, José Duato:
A dynamic execution time estimation model to save energy in heterogeneous multicores running periodic tasks. Future Gener. Comput. Syst. 56: 211-219 (2016) - [j120]Josué Feliu, Julio Sahuquillo, Salvador Petit, José Duato:
Bandwidth-Aware On-Line Scheduling in SMT Multicores. IEEE Trans. Computers 65(2): 422-434 (2016) - [j119]Francisco J. Andujar, Juan A. Villar, José L. Sánchez, Francisco J. Alfaro, José Duato Marín:
Adaptive Routing for N-Dimensional Twin Torus. IEEE Trans. Computers 65(12): 3780-3786 (2016) - [j118]Roberto Peñaranda, Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
The k-ary n-direct s-indirect family of topologies for large-scale interconnection networks. J. Supercomput. 72(3): 1035-1062 (2016) - [j117]Albert Esteve, Alberto Ros, María Engracia Gómez, Antonio Robles, José Duato:
Efficient TLB-Based Detection of Private Pages in Chip Multiprocessors. IEEE Trans. Parallel Distributed Syst. 27(3): 748-761 (2016) - [j116]Diego F. Bermúdez Garzón, Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
A Family of Fault-Tolerant Efficient Indirect Topologies. IEEE Trans. Parallel Distributed Syst. 27(4): 927-940 (2016) - [c310]Albert Esteve, Alberto Ros, Antonio Robles, María Engracia Gómez, José Duato:
TokenTLB: A Token-Based Page Classification Approach. ICS 2016: 26:1-26:13 - [c309]Francisco Candel, Salvador Petit, Julio Sahuquillo, José Duato:
Impact of Memory-Level Parallelism on the Performance of GPU Coherence Protocols. PDP 2016: 305-308 - 2015
- [j115]Joaquín Tárraga, Mariano Pérez, Juan M. Orduña, José Duato, Ignacio Medina, Joaquín Dopazo:
A parallel and sensitive software tool for methylation analysis on multicore platforms. Bioinform. 31(19): 3130-3138 (2015) - [j114]Carlos Reaño, Federico Silla, Adrián Castelló, Antonio J. Peña, Rafael Mayo, Enrique S. Quintana-Ortí, José Duato:
Improving the user experience of the rCUDA remote GPU virtualization framework. Concurr. Comput. Pract. Exp. 27(14): 3746-3770 (2015) - [j113]Alejandro Valero, Salvador Petit, Julio Sahuquillo, David R. Kaeli, José Duato:
A reuse-based refresh policy for energy-aware eDRAM caches. Microprocess. Microsystems 39(1): 37-48 (2015) - [j112]Javier Prades, Federico Silla, Holger Fröning, Mondrian Nüssle, José Duato:
On the design of a new dynamic credit-based end-to-end flow control mechanism for HPC clusters. Parallel Comput. 46: 32-59 (2015) - [j111]Alejandro Valero, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato:
Design of Hybrid Second-Level Caches. IEEE Trans. Computers 64(7): 1884-1897 (2015) - [j110]Francisco J. Andujar-Munoz, Juan A. Villar-Ortiz, José L. Sánchez, Francisco José Alfaro, José Duato:
N-Dimensional Twin Torus Topology. IEEE Trans. Computers 64(10): 2847-2861 (2015) - [j109]Crispín Gómez Requena, Francisco Gilabert Villamón, María Engracia Gómez, Pedro López, José Duato:
A HoL-blocking aware mechanism for selecting the upward path in fat-tree topologies. J. Supercomput. 71(7): 2339-2364 (2015) - [j108]Juan A. Villar, Francisco J. Andujar, Francisco J. Alfaro, José L. Sánchez, José Duato:
Optimizing the configuration of combined high-radix switches. J. Supercomput. 71(7): 2614-2643 (2015) - [j107]Jesús Escudero-Sahuquillo, Ernst Gunnar Gran, Pedro Javier García, José Flich, Tor Skeie, Olav Lysne, Francisco J. Quiles, José Duato:
Efficient and Cost-Effective Hybrid Congestion Control for HPC Interconnection Networks. IEEE Trans. Parallel Distributed Syst. 26(1): 107-119 (2015) - [c308]Francisco Candel, Salvador Petit, Julio Sahuquillo, José Duato:
Accurately modeling the GPU memory subsystem. HPCS 2015: 179-186 - [c307]Josué Feliu, Julio Sahuquillo, Salvador Petit, José Duato:
Addressing Fairness in SMT Multicores with a Progress-Aware Scheduler. IPDPS 2015: 187-196 - 2014
- [j106]Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, Sven-Arne Reinemo, Tor Skeie, Olav Lysne, José Duato:
A new proposal to deal with congestion in InfiniBand-based fat-trees. J. Parallel Distributed Comput. 74(1): 1802-1819 (2014) - [j105]Antonio J. Peña, Carlos Reaño, Federico Silla, Rafael Mayo, Enrique S. Quintana-Ortí, José Duato:
A complete and efficient CUDA-sharing solution for HPC clusters. Parallel Comput. 40(10): 574-588 (2014) - [j104]José Cano, José Flich, Antoni Roca, José Duato, Marcello Coppola, Riccardo Locatelli:
Efficient Routing in Heterogeneous SoC Designs with Small Implementation Overhead. IEEE Trans. Computers 63(3): 557-569 (2014) - [j103]Francisco J. Andujar, Juan A. Villar, José L. Sánchez, Francisco J. Alfaro, José Duato:
Building 3D Torus Using Low-Profile Expansion Cards. IEEE Trans. Computers 63(11): 2701-2715 (2014) - [j102]Juan A. Villar, Francisco J. Andujar, Francisco J. Alfaro, José L. Sánchez, José Duato:
Formalization and configuration methodology for high-radix combined switches. J. Supercomput. 69(3): 1410-1444 (2014) - [j101]Josué Feliu, Salvador Petit, Julio Sahuquillo, José Duato:
Cache-Hierarchy Contention-Aware Scheduling in CMPs. IEEE Trans. Parallel Distributed Syst. 25(3): 581-590 (2014) - [c306]Carlos Reaño, Federico Silla, Antonio J. Peña, Gilad Shainer, Scot Schultz, Adrián Castelló, Enrique S. Quintana-Ortí, José Duato:
Boosting the performance of remote GPU virtualization using InfiniBand connect-IB and PCIe 3.0. CLUSTER 2014: 266-267 - [c305]Pedro Yébenes, Jesús Escudero-Sahuquillo, Crispín Gómez Requena, Pedro Javier García, Francisco J. Alfaro, Francisco J. Quiles, José Duato:
Combining HoL-blocking avoidance and differentiated services in high-speed interconnects. HiPC 2014: 1-10 - [c304]Francisco J. Andújar, Juan A. Villar, Francisco J. Alfaro, José L. Sánchez, José Duato:
Deadlock-free routing mechanism for 3D twin torus networks. INA-OCMC@HiPEAC 2014: 3:1-3:4 - [c303]Roberto Peñaranda Cebrian, Crispín Gómez Requena, María Engracia Gómez Requena, Pedro Juan López Rodríguez, José Duato Marín:
HoL-Blocking Avoidance Routing Algorithms in Direct Topologies. HPCC/CSS/ICESS 2014: 11-18 - [c302]José Luis March, Salvador Petit, Julio Sahuquillo, Houcine Hassan, José Duato:
Dynamic WCET Estimation for Real-Time Multicore Embedded Systems Supporting DVFS. HPCC/CSS/ICESS 2014: 27-33 - [c301]Josué Feliu, Julio Sahuquillo, Salvador Petit, José Duato:
Addressing bandwidth contention in SMT multicores through scheduling. ICS 2014: 167 - [c300]Francisco J. Andujar, Juan A. Villar, José L. Sánchez, Francisco J. Alfaro, José Duato:
Optimal Configuration for N-Dimensional Twin Torus Networks. NCA 2014: 59-66 - [c299]Miguel Gorgues, Dong Xiang, José Flich, Zhigang Yu, José Duato:
Achieving balanced buffer utilization with a proper co-design of flow control and routing algorithm. NOCS 2014: 25-32 - [c298]Diego F. Bermúdez Garzón, Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
FT-RUFT: A Performance and Fault-Tolerant Efficient Indirect Topology. PDP 2014: 405-409 - [c297]Sergio Iserte, Adrián Castelló, Rafael Mayo, Enrique S. Quintana-Ortí, Federico Silla, José Duato, Carlos Reaño, Javier Prades:
SLURM Support for Remote GPU Virtualization: Implementation and Performance Study. SBAC-PAD 2014: 318-325 - 2013
- [j100]José Luis March, Julio Sahuquillo, Salvador Petit, Houcine Hassan, José Duato:
Power-aware scheduling with effective task migration for real-time multicore embedded systems. Concurr. Comput. Pract. Exp. 25(14): 1987-2001 (2013) - [j99]Juan A. Villar, Francisco J. Andujar, José L. Sánchez, Francisco J. Alfaro, José A. Gámez, José Duato:
Obtaining the optimal configuration of high-radix Combined switches. J. Parallel Distributed Comput. 73(9): 1239-1250 (2013) - [j98]Antoni Roca, Carles Hernández, José Flich, Federico Silla, José Duato:
Silicon-aware distributed switch architecture for on-chip networks. J. Syst. Archit. 59(7): 505-515 (2013) - [j97]Blas Cuesta, Alberto Ros, María Engracia Gómez, Antonio Robles, José Duato:
Increasing the Effectiveness of Directory Caches by Avoiding the Tracking of Noncoherent Memory Blocks. IEEE Trans. Computers 62(3): 482-495 (2013) - [j96]Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato:
Hardware-Based Generation of Independent Subtraces of Instructions in Clustered Processors. IEEE Trans. Computers 62(5): 944-955 (2013) - [j95]Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, José Flich, José Duato:
An Effective and Feasible Congestion Management Technique for High-Performance MINs with Tag-Based Distributed Routing. IEEE Trans. Parallel Distributed Syst. 24(10): 1918-1929 (2013) - [c296]Josué Feliu, Julio Sahuquillo, Salvador Petit, José Duato:
L1-bandwidth aware thread allocation in multicore SMT processors. PACT 2013: 123-132 - [c295]Carlos Reaño, Rafael Mayo, Enrique S. Quintana-Ortí, Federico Silla, José Duato, Antonio J. Peña:
Influence of InfiniBand FDR on the performance of remote GPU virtualization. CLUSTER 2013: 1-8 - [c294]Vicente Lorente, Alejandro Valero, Julio Sahuquillo, Salvador Petit, Ramon Canal, Pedro López, José Duato:
Combining RAM technologies for hard-error recovery in L1 data caches working at very-low power modes. DATE 2013: 83-88 - [c293]Pedro Yebenes Segura, Jesús Escudero-Sahuquillo, Crispín Gómez Requena, Pedro Javier García, Francisco J. Quiles, José Duato:
BBQ: A Straightforward Queuing Scheme to Reduce HoL-Blocking in High-Performance Hybrid Networks. Euro-Par 2013: 699-712 - [c292]Roberto Peñaranda, Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
Deterministic Routing with HoL-Blocking-Awareness for Direct Topologies. ICCS 2013: 2521-2524 - [c291]Josué Feliu, Julio Sahuquillo, Salvador Petit, José Duato:
Using Huge Pages and Performance Counters to Determine the LLC Architecture. ICCS 2013: 2557-2560 - [c290]Alberto Ros, Blas Cuesta, María Engracia Gómez, Antonio Robles, José Duato:
Temporal-Aware Mechanism to Detect Private Data in Chip Multiprocessors. ICPP 2013: 562-571 - [c289]Alejandro Valero, Julio Sahuquillo, Salvador Petit, José Duato:
Exploiting reuse information to reduce refresh energy in on-chip eDRAM caches. ICS 2013: 491-492 - 2012
- [j94]Rafael Tornero, Maurizio Palesi, José Duato:
A Topology-Independent Mapping Technique for Application-Specific Networks-on-Chip. Comput. Informatics 31(5): 939-970 (2012) - [j93]Héctor Montaner, Federico Silla, Holger Fröning, José Duato:
A new degree of freedom for memory allocation in clusters. Clust. Comput. 15(2): 101-123 (2012) - [j92]Blas Cuesta, Antonio Robles, José Duato:
Switch-based packing technique to reduce traffic and latency in token coherence. J. Parallel Distributed Comput. 72(3): 409-423 (2012) - [j91]Alejandro Valero, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato:
Combining recency of information with selective random and a victim cache in last-level caches. ACM Trans. Archit. Code Optim. 9(3): 16:1-16:20 (2012) - [j90]Alberto Ros, Blas Cuesta Saez, Ricardo Fernández Pascual, María Engracia Gómez, Manuel E. Acacio, Antonio Robles, José M. García, José Duato:
Extending Magny-Cours Cache Coherence. IEEE Trans. Computers 61(5): 593-606 (2012) - [j89]Alejandro Valero, Salvador Petit, Julio Sahuquillo, Pedro López, José Duato:
Design, Performance, and Energy Consumption of eDRAM/SRAM Macrocells for L1 Data Caches. IEEE Trans. Computers 61(9): 1231-1242 (2012) - [j88]Joan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López, José Duato:
Progressive Congestion Management Based on Packet Marking and Validation Techniques. IEEE Trans. Computers 61(9): 1296-1310 (2012) - [j87]Carles Hernández, Antoni Roca, Federico Silla, José Flich, José Duato:
On the Impact of Within-Die Process Variation in GALS-Based NoC Performance. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(2): 294-307 (2012) - [j86]Monica Serrano, Julio Sahuquillo, Salvador Petit, Houcine Hassan, José Duato:
A cost-effective heuristic to schedule local and remote memory in cluster computers. J. Supercomput. 59(3): 1533-1551 (2012) - [j85]José Flich, Tor Skeie, Andres Mejia, Olav Lysne, Pedro López, Antonio Robles, José Duato, Michihiro Koibuchi, Tomas Rokicki, José Carlos Sancho:
A Survey and Evaluation of Topology-Agnostic Deterministic Routing Algorithms. IEEE Trans. Parallel Distributed Syst. 23(3): 405-425 (2012) - [j84]Alejandro Valero, Julio Sahuquillo, Vicente Lorente, Salvador Petit, Pedro López, José Duato:
Impact on Performance and Energy of the Retention Time and Processor Frequency in L1 Macrocell-Based Data Caches. IEEE Trans. Very Large Scale Integr. Syst. 20(6): 1108-1117 (2012) - [c288]Joan J. Valls, Alberto Ros, Julio Sahuquillo, María Engracia Gómez, José Duato:
PS-Dir: a scalable two-level directory cache. PACT 2012: 451-452 - [c287]Susana Ladra, Oscar Pedreira, José Duato, Nieves R. Brisaboa:
Exploiting SIMD Instructions in Current Processors to Improve Classical String Algorithms. ADBIS 2012: 254-267 - [c286]Javier Prades, Federico Silla, José Duato, Holger Fröning, Mondrian Nüssle:
A New End-to-End Flow-Control Mechanism for High Performance Computing Clusters. CLUSTER 2012: 320-328 - [c285]Carles Hernández, Federico Silla, José Duato:
Addressing Link Degradation in NoC-Based ULSI Designs. Euro-Par Workshops 2012: 327-336 - [c284]Diego F. Bermúdez Garzón, Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
Towards an Efficient Fat-Tree like Topology. Euro-Par 2012: 716-728 - [c283]Carlos Reaño, Antonio J. Peña, Federico Silla, José Duato, Rafael Mayo, Enrique S. Quintana-Ortí:
CU2rCU: Towards the complete rCUDA remote GPU virtualization and sharing solution. HiPC 2012: 1-10 - [c282]Alejandro Valero, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato:
Analyzing the optimal ratio of SRAM banks in hybrid caches. ICCD 2012: 297-302 - [c281]Monica Serrano, Salvador Petit, Julio Sahuquillo, Rafael Ubal, Houcine Hassan, José Duato:
Page-Based Memory Allocation Policies of Local and Remote Memory in Cluster Computers. ICPADS 2012: 612-619 - [c280]Roberto Peñaranda, Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
IODET: A HoL-blocking-aware Deterministic Routing Algorithm for Direct Topologies. ICPADS 2012: 702-703 - [c279]Antoni Roca, Carles Hernández, José Flich, Federico Silla, José Duato:
Enabling High-Performance Crossbars through a Floorplan-Aware Design. ICPP 2012: 269-278 - [c278]Josué Feliu, Julio Sahuquillo, Salvador Petit, José Duato:
Understanding Cache Hierarchy Contention in CMPs to Improve Job Scheduling. IPDPS 2012: 508-519 - [c277]Alberto Ros, Blas Cuesta, María Engracia Gómez, Antonio Robles, José Duato:
Cache Miss Characterization in Hierarchical Large-Scale Cache-Coherent Systems. ISPA 2012: 691-696 - [c276]Roberto Peñaranda, Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
A New Family of Hybrid Topologies for Large-Scale Interconnection Networks. NCA 2012: 220-227 - [c275]Juan A. Villar, Francisco J. Andujar, José L. Sánchez, Francisco José Alfaro, José Duato:
Optimal Configuration of High-Radix Combined Switches. PDP 2012: 102-111 - [c274]José Luis March, Salvador Petit, Julio Sahuquillo, Houcine Hassan, José Duato:
Efficiently Handling Memory Accesses to Improve QoS in Multicore Systems under Real-Time Constraints. SBAC-PAD 2012: 286-293 - 2011
- [j83]Carles Hernández, Antoni Roca, José Flich, Federico Silla, José Duato:
Fault-Tolerant Vertical Link Design for Effective 3D Stacking. IEEE Comput. Archit. Lett. 10(2): 41-44 (2011) - [j82]José Luis March, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato:
A New Energy-Aware Dynamic Task Set Partitioning Algorithm for Soft and Hard Embedded Real-Time Systems. Comput. J. 54(8): 1282-1294 (2011) - [j81]Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
How to reduce packet dropping in a bufferless NoC. Concurr. Comput. Pract. Exp. 23(1): 86-99 (2011) - [j80]Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, José Flich, José Duato:
Cost-effective queue schemes for reducing head-of-line blocking in fat-trees. Concurr. Comput. Pract. Exp. 23(17): 2235-2248 (2011) - [j79]Rafael Tornero, Juan Manuel Orduña, Andres Mejia, José Flich, José Duato:
A Communication-Driven Routing Technique for Application-Specific NoCs. Int. J. Parallel Program. 39(3): 357-374 (2011) - [j78]Carles Hernández, Antoni Roca, José Flich, Federico Silla, José Duato:
Characterizing the impact of process variation on 45 nm NoC-based CMPs. J. Parallel Distributed Comput. 71(5): 651-663 (2011) - [j77]Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, José Flich, José Duato:
OBQA: Smart and cost-efficient queue scheme for Head-of-Line blocking elimination in fat-trees. J. Parallel Distributed Comput. 71(11): 1460-1472 (2011) - [j76]Antoni Roca, José Flich, Federico Silla, José Duato:
A low-latency modular switch for CMP systems. Microprocess. Microsystems 35(8): 742-754 (2011) - [j75]Frank Olaf Sem-Jacobsen, Tor Skeie, Olav Lysne, José Duato:
Dynamic Fault Tolerance in Fat Trees. IEEE Trans. Computers 60(4): 508-525 (2011) - [j74]Samuel Rodrigo, José Flich, Antoni Roca, Simone Medardoni, Davide Bertozzi, Jesús Camacho Villanueva, Federico Silla, José Duato:
Cost-Efficient On-Chip Routing Implementations for CMP and MPSoC Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(4): 534-547 (2011) - [j73]Blas Cuesta Saez, Antonio Robles, José Duato:
Efficient and Scalable Starvation Prevention Mechanism for Token Coherence. IEEE Trans. Parallel Distributed Syst. 22(10): 1610-1623 (2011) - [c273]Alejandro Valero, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato:
Improving Last-Level Cache Performance by Exploiting the Concept of MRU-Tour. PACT 2011: 214 - [c272]Héctor Montaner, Federico Silla, Holger Fröning, José Duato:
MEMSCALE: in-cluster-memory databases. CIKM 2011: 2569-2572 - [c271]Jesús Camacho Villanueva, José Flich, José Duato, Hans Eberle, Wladek Olesinski:
Towards an Efficient NoC Topology through Multiple Injection Ports. DSD 2011: 165-172 - [c270]José Luis March, Julio Sahuquillo, Salvador Petit, Houcine Hassan, José Duato:
A Dynamic Power-Aware Partitioner with Task Migration for Multicore Embedded Systems. Euro-Par (1) 2011: 218-229 - [c269]José Duato, Antonio J. Peña, Federico Silla, Juan Carlos Fernández, Rafael Mayo, Enrique S. Quintana-Ortí:
Enabling CUDA acceleration within virtual machines using rCUDA. HiPC 2011: 1-10 - [c268]Holger Fröning, Alexander Giese, Héctor Montaner, Federico Silla, José Duato:
Highly scalable barriers for future high-performance computing clusters. HiPC 2011: 1-10 - [c267]J. Camacho, José Flich, José Duato, Hans Eberle, Wladek Olesinski:
A power-efficient network on-chip topology. INA-OCMC@HiPEAC 2011: 23-26 - [c266]Héctor Montaner, Federico Silla, Holger Fröning, José Duato:
Unleash Your Memory-Constrained Applications: A 32-Node Non-coherent Distributed-Memory Prototype Cluster. HPCC 2011: 9-16 - [c265]Juan A. Villar, Francisco J. Andujar, José L. Sánchez, Francisco José Alfaro, José Duato:
C-Switches: Increasing Switch Radix with Current Integration Scale. HPCC 2011: 40-49 - [c264]Héctor Montaner, Federico Silla, Holger Fröning, José Duato:
MEMSCALETM: A Scalable Environment for Databases. HPCC 2011: 339-346 - [c263]Monica Serrano, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato:
A Cluster Computer Performance Predictor for Memory Scheduling. ICA3PP (2) 2011: 353-362 - [c262]Antoni Roca, Carles Hernández, José Flich, Federico Silla, José Duato:
A Distributed Switch Architecture for On-Chip Networks. ICPP 2011: 21-30 - [c261]Carles Hernández, Federico Silla, José Duato:
Energy and Performance Efficient Thread Mapping in NoC-Based CMPs under Process Variations. ICPP 2011: 41-50 - [c260]José Duato, Antonio J. Peña, Federico Silla, Rafael Mayo, Enrique S. Quintana-Ortí:
Performance of CUDA Virtualized Remote GPUs in High Performance Clusters. ICPP 2011: 365-374 - [c259]Jesús Camacho Villanueva, José Flich, Antoni Roca, José Duato:
PC-Mesh: A Dynamic Parallel Concentrated Mesh. ICPP 2011: 642-651 - [c258]Jesús Escudero-Sahuquillo, Ernst Gunnar Gran, Pedro Javier García, José Flich, Tor Skeie, Olav Lysne, Francisco J. Quiles, José Duato:
Combining Congested-Flow Isolation and Injection Throttling in HPC Interconnection Networks. ICPP 2011: 662-672 - [c257]Blas Cuesta, Alberto Ros, María Engracia Gómez, Antonio Robles, José Duato:
Increasing the effectiveness of directory caches by deactivating coherence for private memory blocks. ISCA 2011: 93-104 - [c256]Juan A. Villar, Francisco J. Andujar, José L. Sánchez, Francisco José Alfaro, José Duato:
Evaluation of an Alternative for Increasing Switch Radix. NCA 2011: 320-323 - [c255]José Cano, José Flich, José Duato, Marcello Coppola, Riccardo Locatelli:
Efficient routing implementation in complex systems-on-chip. NOCS 2011: 1-8 - [c254]Alejandro Valero, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato:
MRU-Tour-based Replacement Algorithms for Last-Level Caches. SBAC-PAD 2011: 112-119 - 2010
- [j72]Pedro Morillo, Silvia Rueda, Juan Manuel Orduña, José Duato:
Ensuring the performance and scalability of peer-to-peer distributed virtual environments. Future Gener. Comput. Syst. 26(7): 905-915 (2010) - [j71]Marina Alonso, Salvador Coll, Juan-Miguel Martínez, Vicente Santonja, Pedro López, José Duato:
Power saving in regular interconnection networks. Parallel Comput. 36(12): 696-712 (2010) - [j70]Teresa Nachiondo Frinós, José Flich, José Duato:
Buffer Management Strategies to Reduce HoL Blocking. IEEE Trans. Parallel Distributed Syst. 21(6): 739-753 (2010) - [j69]Ricardo Fernández Pascual, José M. García, Manuel E. Acacio, José Duato:
Dealing with Transient Faults in the Interconnection Network of CMPs at the Cache Coherence Level. IEEE Trans. Parallel Distributed Syst. 21(8): 1117-1131 (2010) - [c253]Zheng Li, Olivier Certner, José Duato, Olivier Temam:
Scalable hardware support for conditional parallelization. PACT 2010: 157-168 - [c252]Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato:
Exploiting subtrace-level parallelism in clustered processors. PACT 2010: 555-556 - [c251]Héctor Montaner, Federico Silla, Holger Fröning, José Duato:
Getting Rid of Coherency Overhead for Memory-Hungry Applications. CLUSTER 2010: 48-57 - [c250]Carles Hernández, Federico Silla, José Duato:
A methodology for the characterization of process variation in NoC links. DATE 2010: 685-690 - [c249]Antoni Roca, José Flich, Federico Silla, José Duato:
A Latency-Efficient Router Architecture for CMP Systems. DSD 2010: 165-172 - [c248]Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, José Duato:
An Efficient Strategy for Reducing Head-of-Line Blocking in Fat-Trees. Euro-Par (2) 2010: 413-427 - [c247]Antoni Roca, José Flich, Federico Silla, José Duato:
VCTlite: Towards an efficient implementation of virtual cut-through switching in on-chip networks. HiPC 2010: 1-12 - [c246]Alberto Ros, Blas Cuesta, Ricardo Fernández Pascual, María Engracia Gómez, Manuel E. Acacio, Antonio Robles, José M. García, José Duato:
EMC2: Extending Magny-Cours coherence for large-scale servers. HiPC 2010: 1-10 - [c245]Monica Serrano, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato:
A Scheduling Heuristic to Handle Local and Remote Memory in Cluster Computers. HPCC 2010: 35-42 - [c244]Héctor Montaner, Federico Silla, José Duato:
A practical way to extend shared memory support beyond a motherboard at low cost. HPDC 2010: 155-166 - [c243]José Luis March, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato:
Extending a Multicore Multithread Simulator to Model Power-Aware Hard Real-Time Systems. ICA3PP (2) 2010: 444-453 - [c242]Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, José Flich, José Duato:
Cost-Effective Congestion Management for Interconnection Networks Using Distributed Deterministic Routing. ICPADS 2010: 355-364 - [c241]José Duato, Antonio J. Peña, Federico Silla, Rafael Mayo, Enrique S. Quintana-Ortí:
rCUDA: Reducing the number of GPU-based accelerators in high performance clusters. HPCS 2010: 224-231 - [c240]Samuel Rodrigo, José Flich, Antoni Roca, Simone Medardoni, Davide Bertozzi, Jesús Camacho Villanueva, Federico Silla, José Duato:
Addressing Manufacturing Challenges with Cost-Efficient Fault Tolerant Routing. NOCS 2010: 25-32 - [c239]Carles Hernández, Antoni Roca, Federico Silla, José Flich, José Duato:
Improving the Performance of GALS-Based NoCs in the Presence of Process Variation. NOCS 2010: 35-42 - [c238]Joan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López, José Duato:
A Scalable and Early Congestion Management Mechanism for MINs. PDP 2010: 43-50 - [c237]Diana Bautista Rayo, Julio Sahuquillo Borrás, Houcine Hassan Mohamed, Salvador Petit, José Duato:
Balancing Task Resource Requirements in Embedded Multithreaded Multicore Processors to Reduce Power Consumption. PDP 2010: 200-204 - [c236]Diana Bautista, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato:
Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumption. SAC 2010: 521-526
2000 – 2009
- 2009
- [j68]Samuel Rodrigo, Simone Medardoni, José Flich, Davide Bertozzi, José Duato:
Efficient implementation of distributed routing algorithms for NoCs. IET Comput. Digit. Tech. 3(5): 460-475 (2009) - [j67]Francisco José Alfaro, José L. Sánchez, José Duato:
A new strategy to manage the InfiniBand arbitration tables. J. Parallel Distributed Comput. 69(6): 508-520 (2009) - [j66]Salvador Petit Marti, Julio Sahuquillo Borrás, Pedro Juan López Rodríguez, Rafael Ubal Tena, José Duato Marín:
A Complexity-Effective Out-of-Order Retirement Microarchitecture. IEEE Trans. Computers 58(12): 1626-1639 (2009) - [j65]Alejandro Martínez, Pedro Javier García, Francisco José Alfaro, José L. Sánchez, José Flich, Francisco J. Quiles, José Duato:
A Switch Architecture Guaranteeing QoS Provision and HOL Blocking Elimination. IEEE Trans. Parallel Distributed Syst. 20(1): 13-24 (2009) - [j64]Salvador Coll, Francisco J. Mora, José Duato, Fabrizio Petrini:
Efficient and Scalable Hardware-Based Multicast in Fat-Tree Networks. IEEE Trans. Parallel Distributed Syst. 20(9): 1285-1298 (2009) - [j63]Pedro Morillo, Juan M. Orduña, José Duato:
M-GRASP: A GRASP With Memory for Latency-Aware Partitioning Methods in DVE Systems. IEEE Trans. Syst. Man Cybern. Part A 39(6): 1214-1223 (2009) - [j62]Andres Mejia, Maurizio Palesi, José Flich, Shashi Kumar, Pedro López, Rickard Holsmark, José Duato:
Region-Based Routing: A Mechanism to Support Efficient Routing Algorithms in NoCs. IEEE Trans. Very Large Scale Integr. Syst. 17(3): 356-369 (2009) - [c235]Salvador Petit, Rafael Ubal, Julio Sahuquillo, Pedro López, José Duato:
An Efficient Low-Complexity Alternative to the ROB for Out-of-Order Retirement of Instructions. DSD 2009: 635-642 - [c234]José Duato, Francisco D. Igual, Rafael Mayo, Antonio J. Peña, Enrique S. Quintana-Ortí, Federico Silla:
An Efficient Implementation of GPU Virtualization in High Performance Clusters. Euro-Par Workshops 2009: 385-394 - [c233]Vicente Chirivella, Rosa Alcover, José Flich, José Duato:
Dependability Analysis of a Fault-Tolerant Network Reconfiguring Strategy. Euro-Par 2009: 1040-1051 - [c232]José Duato:
HyperTransport™ technology tutorial. Hot Chips Symposium 2009: 1-53 - [c231]José Duato, Robert J. Safranek, Jasmin Ajanovic:
Tutorial #1: Modern system interconnects. Hot Chips Symposium 2009: 1-7 - [c230]Diana Bautista, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato:
Dynamic task set partitioning based on balancing memory requirements to reduce power consumption. ICS 2009: 513-514 - [c229]Carles Hernández, Federico Silla, Vicente Santonja, José Duato:
A new mechanism to deal with process variability in NoC links. IPDPS 2009: 1-11 - [c228]Samuel Rodrigo, Carles Hernández, José Flich, Federico Silla, José Duato, Simone Medardoni, Davide Bertozzi, Andres Mejia, Donglai Dai:
Yield-oriented evaluation methodology of network-on-chip routing implementations. SoC 2009: 100-105 - [c227]Jesús Camacho Villanueva, José Flich, José Duato, Hans Eberle, Nils Gura, Wladek Olesinski:
A performance evaluation of 2D-mesh, ring, and crossbar interconnects for chip multi-processors. NoCArc@MICRO 2009: 51-56 - [c226]Alejandro Valero, Julio Sahuquillo, Salvador Petit, Vicente Lorente, Ramon Canal, Pedro López, José Duato:
An hybrid eDRAM/SRAM macrocell to implement first-level data caches. MICRO 2009: 213-221 - 2008
- [j61]José Flich, José Duato:
Logic-Based Distributed Routing for NoCs. IEEE Comput. Archit. Lett. 7(1): 13-16 (2008) - [j60]Crispín Gómez Requena, Francisco Gilabert Villamón, María Engracia Gómez, Pedro López, José Duato:
Beyond Fat-tree: Unidirectional Load--Balanced Multistage Interconnection Network. IEEE Comput. Archit. Lett. 7(2): 49-52 (2008) - [j59]Antonio Robles-Gómez, Aurelio Bermúdez, Rafael Casado, Francisco J. Quiles, Tor Skeie, José Duato:
A proposal for managing ASI fabrics. J. Syst. Archit. 54(7): 664-678 (2008) - [j58]José Flich, Samuel Rodrigo, José Duato, Thomas Sødring, Åshild Grønstad Solheim, Tor Skeie, Olav Lysne:
On the Potential of NoC Virtualization for Multicore Chips. Scalable Comput. Pract. Exp. 9(3) (2008) - [j57]Olav Lysne, José Miguel Montañana, José Flich, José Duato, Timothy Mark Pinkston, Tor Skeie:
An Efficient and Deadlock-Free Network Reconfiguration Protocol. IEEE Trans. Computers 57(6): 762-779 (2008) - [j56]Alejandro Martínez-Vicente, George Apostolopoulos, Francisco José Alfaro, José L. Sánchez, José Duato:
Efficient Deadline-Based QoS Algorithms for High-Performance Networks. IEEE Trans. Computers 57(7): 928-939 (2008) - [j55]Ricardo Fernández Pascual, José M. García, Manuel E. Acacio, José Duato:
Extending the TokenCMP Cache Coherence Protocol for Low Overhead Fault Tolerance in CMP Architectures. IEEE Trans. Parallel Distributed Syst. 19(8): 1044-1056 (2008) - [c225]José Flich, Samuel Rodrigo, José Duato, Thomas Sødring, Åshild Grønstad Solheim, Tor Skeie, Olav Lysne:
On the Potential of NoC Virtualization for Multicore Chips. CISIS 2008: 801-807 - [c224]Rafael Tornero, Juan Manuel Orduña, Andres Mejia, José Flich, José Duato:
CART: Communication-Aware Routing Technique for Application-Specific NoCs. DSD 2008: 26-31 - [c223]Ricardo Fernández Pascual, José M. García, Manuel E. Acacio, José Duato:
A fault-tolerant directory-based cache coherence protocol for CMP architectures. DSN 2008: 267-276 - [c222]Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
Reducing Packet Dropping in a Bufferless NoC. Euro-Par 2008: 899-909 - [c221]Rafael Tornero, Juan M. Orduña, Maurizio Palesi, José Duato:
A Communication-Aware Topological Mapping Technique for NoCs. Euro-Par 2008: 910-919 - [c220]Joan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López, José Duato:
On the Influence of the Packet Marking and Injection Control Schemes in Congestion Management for MINs. Euro-Par 2008: 930-939 - [c219]Jesús Escudero-Sahuquillo, Pedro Javier García, Francisco J. Quiles, José Flich, José Duato:
FBICM: Efficient Congestion Management for High-Performance Networks Using Distributed Deterministic Routing. HiPC 2008: 503-517 - [c218]Ricardo Fernández Pascual, José M. García, Manuel E. Acacio, José Duato:
Fault-Tolerant Cache Coherence Protocols for CMPs: Evaluation and Trade-Offs. HiPC 2008: 555-568 - [c217]Crispín Gómez Requena, Francisco Gilabert Villamón, María Engracia Gómez, Pedro Juan López Rodríguez, José Duato:
RUFT: Simplifying the Fat-Tree Topology. ICPADS 2008: 153-160 - [c216]Crispín Gómez Requena, María Engracia Gómez, Pedro Juan López Rodríguez, José Duato:
An Efficient Switching Technique for NoCs with Reduced Buffer Requirements. ICPADS 2008: 713-720 - [c215]Héctor Montaner, Federico Silla, Vicente Santonja, José Duato:
Network Reconfiguration Suitability for Scientific Applications. ICPP 2008: 312-319 - [c214]Andres Mejia, José Flich, José Duato:
On the Potentials of Segment-Based Routing for NoCs. ICPP 2008: 594-603 - [c213]Diana Bautista, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato:
A simple power-aware scheduling for multicore systems when running real-time applications. IPDPS 2008: 1-7 - [c212]José Miguel Montañana, José Flich, José Duato:
Epoch-based reconfiguration: Fast, simple, and effective dynamic network reconfiguration. IPDPS 2008: 1-12 - [c211]Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato:
The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures. IPDPS 2008: 1-11 - [c210]Samuel Rodrigo, José Flich, José Duato, Mark Hummel:
Efficient unicast and multicast support for CMPs. MICRO 2008: 364-375 - [c209]José Flich, Samuel Rodrigo, José Duato:
An Efficient Implementation of Distributed Routing Algorithms for NoCs. NOCS 2008: 87-96 - [c208]Francisco Gilabert Villamón, Simone Medardoni, Davide Bertozzi, Luca Benini, María Engracia Gómez, Pedro López, José Duato:
Exploring High-Dimensional Topologies for NoC Design Through an Integrated Analysis and Synthesis Framework. NOCS 2008: 107-116 - [c207]Blas Cuesta, Antonio Robles, José Duato:
Switch-Based Packing Technique for Improving Token Coherence Scalability. PDCAT 2008: 83-90 - [c206]Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
Exploiting Wiring Resources on Interconnection Network: Increasing Path Diversity. PDP 2008: 20-29 - [c205]Blas Cuesta, Antonio Robles, José Duato:
Improving Token Coherence by Multicast Coherence Messages. PDP 2008: 269-273 - [c204]Hans Eberle, Pedro Javier García, José Flich, José Duato, Robert J. Drost, Nils Gura, David Hopkins, Wladek Olesinski:
High-radix crossbar switches enabled by proximity communication. SC 2008: 32 - 2007
- [j54]Silvia Rueda, Pedro Morillo, Juan M. Orduña, José Duato:
A genetic approach for adding QoS to distributed virtual environments. Comput. Commun. 30(4): 731-739 (2007) - [j53]Francisco José Alfaro, José L. Sánchez, M. Menduiña, José Duato:
A Formal Model to Manage the InfiniBand Arbitration Tables Providing QoS. IEEE Trans. Computers 56(8): 1024-1039 (2007) - [j52]Aurelio Bermúdez, Rafael Casado, Francisco J. Quiles, José Duato:
Handling Topology Changes in InfiniBand. IEEE Trans. Parallel Distributed Syst. 18(2): 172-185 (2007) - [j51]Eun Jung Kim, Ki Hwan Yum, Chita R. Das, Mazin S. Yousif, José Duato:
Exploring IBA Design Space for Improved Performance. IEEE Trans. Parallel Distributed Syst. 18(4): 498-510 (2007) - [j50]Pedro Morillo, Silvia Rueda, Juan M. Orduña, José Duato:
A Latency-Aware Partitioning Method for Distributed Virtual Environment Systems. IEEE Trans. Parallel Distributed Syst. 18(9): 1215-1226 (2007) - [j49]Alejandro Martínez, Francisco José Alfaro, José L. Sánchez, Francisco J. Quiles, José Duato:
A New Cost-Effective Technique for QoS Support in Clusters. IEEE Trans. Parallel Distributed Syst. 18(12): 1714-1726 (2007) - [c203]Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato:
VB-MT: Design Issues and Performance of the Validation Buffer Microarchitecture for Multithreaded Processors. PACT 2007: 429 - [c202]Alejandro Martínez-Vicente, Pedro Javier García, Francisco José Alfaro, José L. Sánchez, José Flich, Francisco J. Quiles, José Duato:
Integrated QoS Provision and Congestion Management for Interconnection Networks. Euro-Par 2007: 837-847 - [c201]Ricardo Fernández Pascual, José M. García, Manuel E. Acacio, José Duato:
A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures. HPCA 2007: 157-168 - [c200]Marina Alonso, Salvador Coll, Vicente Santonja, Juan-Miguel Martínez, Pedro López, José Duato:
Power-Aware Fat-Tree Networks Using On/Off Links. HPCC 2007: 472-483 - [c199]Alejandro Martínez, Francisco José Alfaro, José L. Sánchez, José Duato:
Providing Full QoS with 2 VCs in High-Speed Switches. ICOIN 2007: 345-354 - [c198]Gaspar Mora, Pedro Javier García, José Flich, José Duato:
RECN-IQ: A Cost-Effective Input-Queued Switch Architecture with Congestion Management. ICPP 2007: 74 - [c197]Crispín Gómez Requena, Francisco Gilabert Villamón, María Engracia Gómez, Pedro López, José Duato:
Deterministic versus Adaptive Routing in Fat-Trees. IPDPS 2007: 1-8 - [c196]Alejandro Martínez, Francisco José Alfaro, José L. Sánchez, José Duato:
Deadline-based QoS Algorithms for High-performance Networks. IPDPS 2007: 1-9 - [c195]Alejandro Martínez, Francisco José Alfaro, José L. Sánchez, José Duato:
Efficient Switches with QoS Support for Clusters. IPDPS 2007: 1-6 - [c194]Crispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato:
An Efficient Fault-Tolerant Routing Methodology for Fat-Tree Interconnection Networks. ISPA 2007: 509-522 - [c193]José Flich, Andres Mejia, Pedro López, José Duato:
Region-Based Routing: An Efficient Routing Mechanism to Tackle Unreliable Hardware in Network on Chips. NOCS 2007: 183-194 - [c192]Blas Cuesta, Antonio Robles, José Duato:
An Effective Starvation Avoidance Mechanism to Enhance the Token Coherence Protocol. PDP 2007: 47-54 - [c191]Andres Mejia, José Flich, José Duato, Sven-Arne Reinemo, Tor Skeie:
Boosting Ethernet Performance by Segment-Based Routing. PDP 2007: 55-62 - [c190]Joan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López, José Duato:
Congestion Management in MINs through Marked and Validated Packets. PDP 2007: 254-261 - [c189]Silvia Rueda, Pedro Morillo, Juan M. Orduña, José Duato:
On the Characterization of Peer-To-Peer Distributed Virtual Environments. VR 2007: 107-114 - 2006
- [j48]María Blanca Caminero, Carmen Carrión, Francisco J. Quiles, José Duato, Sudhakar Yalamanchili:
MMR: A MultiMedia Router architecture to support hybrid workloads. J. Parallel Distributed Comput. 66(2): 307-321 (2006) - [j47]María Engracia Gómez, Pedro López, José Duato:
FIR: An efficient routing strategy for tori and meshes. J. Parallel Distributed Comput. 66(7): 907-921 (2006) - [j46]Pedro Javier García, Francisco J. Quiles, José Flich, José Duato, Ian Johnson, Finbar Naven:
Efficient, Scalable Congestion Management for Interconnection Networks. IEEE Micro 26(5): 52-66 (2006) - [j45]María Engracia Gómez, Pedro López, José Duato:
An Efficient Fault-Tolerant Routing Strategy for Tori and Meshes. Scalable Comput. Pract. Exp. 7(3) (2006) - [j44]María Engracia Gómez, Nils Agne Nordbotten, José Flich, Pedro López, Antonio Robles, José Duato, Tor Skeie, Olav Lysne:
A Routing Methodology for Achieving Fault Tolerance in Direct Networks. IEEE Trans. Computers 55(4): 400-415 (2006) - [c188]Gaspar Mora, José Flich, José Duato, Pedro López, Elvira Baydal, Olav Lysne:
Towards an efficient switch architecture for high-radix switches. ANCS 2006: 11-20 - [c187]Pedro Morillo, W. Moncho, Juan M. Orduña, José Duato:
Providing Full Awareness to Distributed Virtual Environments Based on Peer-to-Peer Architectures. Computer Graphics International 2006: 336-347 - [c186]Francisco Gilabert Villamón, María Engracia Gómez, Pedro López, José Duato:
On the Influence of the Selection Function on the Performance of Fat-Trees. Euro-Par 2006: 864-873 - [c185]Alejandro Martínez, Pedro Javier García, Francisco José Alfaro, José L. Sánchez, José Flich, Francisco J. Quiles, José Duato:
Towards a Cost-Effective Interconnection Network Architecture with QoS and Congestion Management Support. Euro-Par 2006: 884-895 - [c184]Alejandro Martínez, George Apostolopoulos, Francisco José Alfaro, José L. Sánchez, José Duato:
QoS Support for Video Transmission in High-Speed Interconnects. HPCC 2006: 631-641 - [c183]Teresa Nachiondo Frinós, José Flich, José Duato:
Destination-Based HoL Blocking Elimination. ICPADS (1) 2006: 213-222 - [c182]Alejandro Martínez, Francisco José Alfaro, José L. Sánchez, José Duato:
Scalable Low-Cost QoS Support for Single-chip Switches. ICPADS (1) 2006: 439-446 - [c181]José Miguel Montañana, José Flich, Antonio Robles, José Duato:
Reachability-Based Fault-Tolerant Routing. ICPADS (1) 2006: 515-524 - [c180]Pedro Javier García, Francisco J. Quiles, José Flich, José Duato, Ian Johnson, Finbar Naven:
RECN-DD: A Memory-Efficient Congestion Management Technique for Advanced Switching. ICPP 2006: 23-32 - [c179]Frank Olaf Sem-Jacobsen, Tor Skeie, Olav Lysne, José Duato:
Dynamic Fault Tolerance with Misrouting in Fat Trees. ICPP 2006: 33-44 - [c178]Pedro Morillo, Juan M. Orduña, José Duato:
A Scalable Synchronization Technique for Distributed Virtual Environments Based on Networked-Server Architectures. ICPP Workshops 2006: 74-81 - [c177]Marina Alonso, Salvador Coll, Juan-Miguel Martínez, Vicente Santonja, Pedro López, José Duato:
Dynamic power saving in fat-tree interconnection networks using on/off links. IPDPS 2006 - [c176]Andres Mejia, José Flich, José Duato, Sven-Arne Reinemo, Tor Skeie:
Segment-based routing: an efficient fault-tolerant routing algorithm for meshes and tori. IPDPS 2006 - [c175]Alejandro Martínez, Francisco José Alfaro, José L. Sánchez, José Duato:
Full QoS Support with 2 VCs for Single-chip Switches. NCA 2006: 239-242 - 2005
- [j43]Michihiro Koibuchi, Juan Carlos Martínez, José Flich, Antonio Robles, Pedro López, José Duato:
Enforcing in-order packet delivery in system area networks with adaptive routing. J. Parallel Distributed Comput. 65(10): 1223-1236 (2005) - [j42]Manuel E. Acacio, José González, José M. García, José Duato:
A Two-Level Directory Architecture for Highly Scalable cc-NUMA Multiprocessors. IEEE Trans. Parallel Distributed Syst. 16(1): 67-79 (2005) - [j41]Joan-Manuel Parcerisa, Julio Sahuquillo, Antonio González, José Duato:
On-Chip Interconnects and Instruction Steering Schemes for Clustered Microarchitectures. IEEE Trans. Parallel Distributed Syst. 16(2): 130-144 (2005) - [j40]José Duato, Olav Lysne, Ruoming Pang, Timothy Mark Pinkston:
Part I: A Theory for Deadlock-Free Dynamic Network Reconfiguration. IEEE Trans. Parallel Distributed Syst. 16(5): 412-427 (2005) - [j39]Olav Lysne, Timothy Mark Pinkston, José Duato:
Part II: A Methodology for Developing Deadlock-Free Dynamic Network Reconfiguration Processes. IEEE Trans. Parallel Distributed Syst. 16(5): 428-443 (2005) - [j38]Pedro Morillo, Juan M. Orduña, Marcos Fernández, José Duato:
Improving the Performance of Distributed Virtual Environment Systems. IEEE Trans. Parallel Distributed Syst. 16(7): 637-649 (2005) - [j37]Elvira Baydal, Pedro López, José Duato:
A Family of Mechanisms for Congestion Control in Wormhole Networks. IEEE Trans. Parallel Distributed Syst. 16(9): 772-784 (2005) - [j36]María Blanca Caminero, Carmen Carrión, Francisco J. Quiles, José Duato, Sudhakar Yalamanchili:
Traffic Scheduling Solutions with QoS Support for an Input-Buffered MultiMedia Router. IEEE Trans. Parallel Distributed Syst. 16(11): 1009-1021 (2005) - [c174]Teresa Nachiondo Frinós, José Flich, José Duato, Mitchell Gusat:
Cost / Performance Trade-Offs and Fairness Evaluation of Queue Mapping Policies. Euro-Par 2005: 1024-1034 - [c173]Pedro Javier García, José Flich, José Duato, Francisco J. Quiles, Ian Johnson, Finbar Naven:
On the Correct Sizing on Meshes Through an Effective Congestion Management Strategy. Euro-Par 2005: 1035-1045 - [c172]Alejandro Martínez, Francisco José Alfaro, José L. Sánchez, José Duato:
Providing Full QoS Support in Clusters Using Only Two VCs at the Switches. HiPC 2005: 158-169 - [c171]Pedro Javier García, José Flich, José Duato, Ian Johnson, Francisco J. Quiles, Finbar Naven:
Dynamic Evolution of Congestion Trees: Analysis and Impact on Switch Architecture. HiPEAC 2005: 266-285 - [c170]Mitchell Gusat, D. Craddock, Wolfgang E. Denzel, Antonius P. J. Engbersen, Nan Ni, Gregory F. Pfister, W. Rooney, José Duato:
Congestion Control in InfiniBand Networks. Hot Interconnects 2005: 158-159 - [c169]José Duato, Ian Johnson, José Flich, Finbar Naven, Pedro Javier García, Teresa Nachiondo Frinós:
A New Scalable and Cost-Effective Congestion Management Strategy for Lossless Multistage Interconnection Networks. HPCA 2005: 108-119 - [c168]Marina Alonso, Juan-Miguel Martínez, Vicente Santonja, Pedro López, José Duato:
Power Saving in Regular Interconnection Networks Built with High-Degree Switches. IPDPS 2005 - [c167]Teresa Nachiondo Frinós, José Flich, José Duato:
Efficient Reduction of HOL Blocking in Multistage Networks. IPDPS 2005 - [c166]María Engracia Gómez, Pedro López, José Duato:
A Memory-Effective Routing Strategy for Regular Interconnection Networks. IPDPS 2005 - [c165]Juan Carlos Martínez, José Flich, Antonio Robles, Pedro López, José Duato, Michihiro Koibuchi:
In-Order Packet Delivery in Interconnection Networks using Adaptive Routing. IPDPS 2005 - [c164]Silvia Rueda, Pedro Morillo, Juan M. Orduña, José Duato:
A Sexual Elitist Genetic Algorithm for Providing QoS in Distributed Virtual Environment Systems. IPDPS 2005 - [c163]Francisco José Alfaro, José L. Sánchez, José Duato:
Studying the Influence of the InfiniBand Packet Size to Guarantee QoS. ISCC 2005: 989-994 - [c162]José Miguel Montañana, José Flich, Antonio Robles, José Duato:
A Scalable Methodology for Computing Fault-Free Paths in InfiniBand Torus Networks. ISHPC 2005: 79-92 - [c161]María Engracia Gómez, Pedro López, José Duato:
A Memory-Effective Fault-Tolerant Routing Strategy for Direct Interconnection Networks. ISPDC 2005: 341-348 - [c160]Pedro Morillo, Juan M. Orduña, Marcos Fernández, José Duato:
A Method for Providing QoS in Distributed Virtual Environments. PDP 2005: 152-159 - 2004
- [j35]María Engracia Gómez, José Duato, José Flich, Pedro López, Antonio Robles, Nils Agne Nordbotten, Olav Lysne, Tor Skeie:
An Efficient Fault-Tolerant Routing Methodology for Meshes and Tori. IEEE Comput. Archit. Lett. 3 (2004) - [j34]Juan M. Orduña, Federico Silla, José Duato:
On the development of a communication-aware task mapping technique. J. Syst. Archit. 50(4): 207-220 (2004) - [j33]Bilal Zafar, Timothy Mark Pinkston, Aurelio Bermúdez, José Duato:
Deadlock-free dynamic reconfiguration over InfiniBandTM NETWORKS. Parallel Algorithms Appl. 19(2-3): 127-143 (2004) - [j32]José Carlos Sancho, Antonio Robles, José Duato:
An Effective Methodology to Improve the Performance of the Up*/Down* Routing Algorithm. IEEE Trans. Parallel Distributed Syst. 15(8): 740-754 (2004) - [j31]Manuel E. Acacio, José González, José M. García, José Duato:
An Architecture for High-Performance Scalable Shared-Memory Multiprocessors Exploiting On-Chip Integration. IEEE Trans. Parallel Distributed Syst. 15(8): 755-768 (2004) - [j30]Francisco José Alfaro, José L. Sánchez, José Duato:
QoS in InfiniBand Subnetworks. IEEE Trans. Parallel Distributed Syst. 15(9): 810-823 (2004) - [c159]José Duato, Fabrizio Petrini, Olav Lysne, Angelos Bilas:
Topic 14: Routing and Communication in Interconnection Networks. Euro-Par 2004: 832 - [c158]María Engracia Gómez, José Duato, José Flich, Pedro López, Antonio Robles, Nils Agne Nordbotten, Tor Skeie, Olav Lysne:
A New Adaptive Fault-Tolerant Routing Methodology for Direct Networks. HiPC 2004: 462-473 - [c157]Olav Lysne, José Miguel Montañana, Timothy Mark Pinkston, José Duato, Tor Skeie, José Flich:
Simple Deadlock-Free Dynamic Network Reconfiguration. HiPC 2004: 504-515 - [c156]Pedro Morillo, Juan M. Orduña, Marcos Fernández, José Duato:
A Comparison Study of Metaheuristic Techniques for Providing QoS to Avatars in DVE Systems. ICCSA (2) 2004: 661-670 - [c155]Tor Skeie, Olav Lysne, José Flich, Pedro López, Antonio Robles, José Duato:
LASH-TOR: A Generic Transition-Oriented Routing Algorithm. ICPADS 2004: 595-604 - [c154]María Engracia Gómez, José Flich, Pedro López, Antonio Robles, José Duato, Nils Agne Nordbotten, Olav Lysne, Tor Skeie:
An Effective Fault-Tolerant Routing Methodology for Direct Networks. ICPP 2004: 222-231 - [c153]Aurelio Bermúdez, Rafael Casado, Francisco J. Quiles, José Duato:
Use of Provisional Routes to Speed-up Change Assimilation in InfiniBand Networks. IPDPS 2004 - [c152]José Miguel Montañana, José Flich, Antonio Robles, Pedro López, José Duato:
A Transition-Based Fault-Tolerant Routing Methodology for InfiniBand Networks. IPDPS 2004 - [c151]Nils Agne Nordbotten, María Engracia Gómez, José Flich, Pedro López, Antonio Robles, Tor Skeie, Olav Lysne, José Duato:
A Fully Adaptive Fault-Tolerant Routing Methodology Based on Intermediate Nodes. NPC 2004: 341-356 - [c150]Pedro Javier García, Francisco J. Quiles, Francisco José Alfaro, José L. Sánchez, José Duato:
An analysis of deadlock risk during centralized network mapping. Parallel and Distributed Computing and Networks 2004: 601-606 - [c149]José Duato, José Flich, Teresa Nachiondo Frinós:
A Cost-Effective Technique to Reduce HOL Blocking in Single-Stage and Multistage Switch Fabrics. PDP 2004: 48-53 - 2003
- [j29]Juan Carlos Martínez, José Flich, Antonio Robles, Pedro López, José Duato:
Supporting adaptive routing in IBA switches. J. Syst. Archit. 49(10-11): 441-456 (2003) - [j28]José Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki:
Applying In-Transit Buffers to Boost the Performance of Networks with Source Routing. IEEE Trans. Computers 52(9): 1134-1153 (2003) - [j27]Juan-Miguel Martinez-Rubio, Pedro López, José Duato:
FC3D: Flow Control-Based Distributed Deadlock Detection Mechanism for True Fully Adaptive Routing in Wormhole Networks. IEEE Trans. Parallel Distributed Syst. 14(8): 765-779 (2003) - [j26]Timothy Mark Pinkston, Ruoming Pang, José Duato:
Deadlock-Free Dynamic Reconfiguration Schemes for Increased Network Dependability. IEEE Trans. Parallel Distributed Syst. 14(8): 780-794 (2003) - [c148]Aurelio Bermúdez, Rafael Casado, Francisco J. Quiles, Timothy Mark Pinkston, José Duato:
On the InfiniBand Subnet Discovery Process. CLUSTER 2003: 512- - [c147]José Duato, Olav Lysne, Timothy Mark Pinkston, Hermann Hellwagner:
Topic Introduction. Euro-Par 2003: 929 - [c146]Pedro Morillo, Juan M. Orduña, Marcos Fernández, José Duato:
On the Characterization of Distributed Virtual Environment Systems. Euro-Par 2003: 1190-1198 - [c145]Eun Jung Kim, Ki Hwan Yum, Chita R. Das, Mazin S. Yousif, José Duato:
Performance Enhancement Techniques for InfiniBand? Architecture. HPCA 2003: 253-262 - [c144]Olav Lysne, Timothy Mark Pinkston, José Duato:
A Methodology for Developing Dynamic Network Reconfiguration Processes. ICPP 2003: 77-86 - [c143]Aurelio Bermúdez, Rafael Casado, Francisco J. Quiles, Timothy Mark Pinkston, José Duato:
Evaluation of a Subnet Management Mechanism for InfiniBand Networks. ICPP 2003: 117- - [c142]Francisco José Alfaro, José L. Sánchez, José Duato:
A New Proposal to Fill in the InfiniBand Arbitration Tables. ICPP 2003: 133- - [c141]José Carlos Sancho, Antonio Robles, Pedro López, José Flich, José Duato:
Routing in InfiniBandTM Torus Network Topologie. ICPP 2003: 509-518 - [c140]Juan Carlos Martínez, José Flich, Antonio Robles, Pedro López, José Duato:
Supporting Fully Adaptive Routing in InfiniBand Networks. IPDPS 2003: 44 - [c139]María Engracia Gómez, José Flich, Antonio Robles, Pedro López, José Duato:
VOQSW: A Methodology to Reduce HOL Blocking in InfiniBand Networks. IPDPS 2003: 46 - [c138]María Blanca Caminero, Carmen Carrión, Francisco J. Quiles, José Duato, Sudhakar Yalamanchili:
A Solution for Handling Hybrid Traffic in Clustered Environments: The MultiMedia Router MMR. IPDPS 2003: 197 - [c137]José Carlos Sancho, Juan Carlos Martínez, Antonio Robles, Pedro López, José Flich, José Duato:
Performance Evaluation of COWs under Real Parallel Application. IPDPS 2003: 202 - [c136]Román García, José Duato, Federico Silla:
LSOM: A Link State Protocol Over Mac Addresses for Metropolitan Backbones Using Optical Ethernet Switches. NCA 2003: 315-321 - [c135]Juan Carlos Martínez, José Flich, Antonio Robles, Pedro López, José Duato:
Supporting Adaptive Routing in InfiniBand Networks. PDP 2003: 165-172 - [c134]María Blanca Caminero, Carmen Carrión, Francisco J. Quiles, José Duato, Sudhakar Yalamanchili:
A Hardware Approach to QoS Support in Cluster Environments: The Multimedia Router MMR. PDPTA 2003: 220-226 - [c133]Timothy Mark Pinkston, Bilal Zafar, José Duato:
A Method for Applying Double Scheme Dynamic Reconfiguration over InfiniBandTM. PDPTA 2003: 793-800 - [c132]Salvador Coll, José Duato, Fabrizio Petrini, Francisco J. Mora:
Scalable Hardware-Based Multicast Trees. SC 2003: 54 - 2002
- [j25]Juan M. Orduña, Federico Silla, José Duato:
A Clustering Method for Modeling the Communication Requirements of Message-Passing Applications. Comput. Artif. Intell. 21(1): 1-16 (2002) - [j24]José Flich, Pedro López, Manuel P. Malumbres, José Duato:
Boosting the Performance of Myrinet Networks. IEEE Trans. Parallel Distributed Syst. 13(7): 693-709 (2002) - [j23]José Flich, Pedro López, Manuel P. Malumbres, José Duato:
Boosting the Performance of Myrinet Networks. IEEE Trans. Parallel Distributed Syst. 13(11): 1166-1182 (2002) - [c131]María Blanca Caminero, Carmen Carrión, Francisco J. Quiles, José Duato, Sudhakar Yalamanchili:
A new switch scheduling algorithm to improve QoS in the multimedia router. IEEE Workshop on Multimedia Signal Processing 2002: 376-379 - [c130]Manuel E. Acacio, José González, José M. García, José Duato:
The Use of Prediction for Accelerating Upgrade Misses in cc-NUMA Multiprocessors. IEEE PACT 2002: 155-164 - [c129]Joan-Manuel Parcerisa, Julio Sahuquillo, Antonio González, José Duato:
Efficient Interconnects for Clustered Microarchitectures. IEEE PACT 2002: 291-300 - [c128]Ki Hwan Yum, Eun Jung Kim, Chita R. Das, Mazin S. Yousif, José Duato:
Integrated Admission and Congestion Control for QoS Support in Clusters. CLUSTER 2002: 325-332 - [c127]Gregorio Bernabé, José González, José M. García, José Duato:
Memory Conscious 3D Wavelet Transform. EUROMICRO 2002: 108-113 - [c126]María Engracia Gómez, José Flich, Antonio Robles, Pedro López, José Duato:
Evaluation of Routing Algorithms for InfiniBand Networks (Research Note). Euro-Par 2002: 775-780 - [c125]Elvira Baydal, Pedro López, José Duato:
Congestion Control Based on Transmission Times. Euro-Par 2002: 781-790 - [c124]Indrani Paul, Sudhakar Yalamanchili, José Duato:
Algorithms for Switch-Scheduling in the Multimedia Router for LANs. HiPC 2002: 219-231 - [c123]María Blanca Caminero, Carmen Carrión, Francisco J. Quiles, José Duato, Sudhakar Yalamanchili:
A multimedia router architecture to provide high performance and QoS guarantees to mixed traffic. ICME (1) 2002: 313-316 - [c122]José Carlos Sancho, Antonio Robles, José Flich, Pedro López, José Duato:
Effective Methodology for Deadlock-Free Minimal Routing in InfiniBand Networks. ICPP 2002: 409-418 - [c121]Manuel E. Acacio, José González, José M. García, José Duato:
A Novel Approach to Reduce L2 Miss Latency in Shared-Memory Multiprocessors. IPDPS 2002 - [c120]Francisco José Alfaro, José L. Sánchez, José Duato:
A Strategy to Manage Time Sensitive Traffic in InfiniBand. IPDPS 2002 - [c119]Francisco José Alfaro, José L. Sánchez, José Duato, Chita R. Das:
A Strategy to Compute the InfiniBand Arbitration Tables. IPDPS 2002 - [c118]María Blanca Caminero, Carmen Carrión, Francisco J. Quiles, José Duato, Sudhakar Yalamanchili:
Investigating Switch Scheduling Algorithms to Support QoS in the Multimedia Router. IPDPS 2002 - [c117]Dhabaleswar K. Panda, José Duato, Craig B. Stunkel:
Workshop Introduction. IPDPS 2002 - [c116]José Carlos Sancho, José Flich, Antonio Robles, Pedro López, José Duato:
Analyzing the Influence of Virtual Lanes on the Performance of InfiniBand Networks. IPDPS 2002 - [c115]Elvira Baydal, Pedro López, José Duato:
Avoiding Network Congestion with Local Information. ISHPC 2002: 35-48 - [c114]José Flich, Pedro López, José Carlos Sancho, Antonio Robles, José Duato:
Improving InfiniBand Routing through Multiple Virtual Networks. ISHPC 2002: 49-63 - [c113]Juan Fernández, José M. García, José Duato:
Improving the Performance of Real-Time Communication Services on High-Speed LANs under Topology Changes. LCN 2002: 385-394 - [c112]José Carlos Sancho, Antonio Robles, José Duato:
Performance Sensitivity of Routing Algorithms to Failures in Networks of Workstations with Regular and Irregular Topologies. PDP 2002: 81-90 - [c111]Manuel E. Acacio, José González, José M. García, José Duato:
Reducing the Latency of L2 Misses in Shared-Memory Multiprocessors through On-Chip Directory Integration. PDP 2002: 368-375 - [c110]Elvira Baydal, Pedro López, José Duato:
Increasing the Adaptivity of Routing Algorithms for k-ary n-cubes. PDP 2002: 455-462 - [c109]José Flich, Manuel P. Malumbres, Pedro López, José Duato:
Removing the Latency Overhead of the ITB Mechanism in COWs with Source Routing. PDP 2002: 463-470 - [c108]Manuel E. Acacio, José González, José M. García, José Duato:
Owner prediction for accelerating cache-to-cache transfer misses in a cc-NUMA architecture. SC 2002: 1:1-1:12 - 2001
- [j22]Juan M. Orduña, Federico Silla, José Duato:
Towards a Communication-Aware Task Scheduling Strategy for Heterogeneous Systems. Comput. Artif. Intell. 20(3): 245-267 (2001) - [j21]José Duato, Antonio Robles, Federico Silla, Ramón Beivide:
A Comparison of Router Architectures for Virtual Cut-Through and Wormhole Switching in a NOW Environment. J. Parallel Distributed Comput. 61(2): 224-253 (2001) - [j20]Rafael Casado, Aurelio Bermúdez, José Duato, Francisco J. Quiles, José L. Sánchez:
A Protocol for Deadlock-Free Dynamic Reconfiguration in High-Speed Local Area Networks. IEEE Trans. Parallel Distributed Syst. 12(2): 115-132 (2001) - [j19]Juan-Miguel Martinez-Rubio, Pedro López, José Duato:
A Cost-Effective Approach to Deadlock Handling in Wormhole Networks. IEEE Trans. Parallel Distributed Syst. 12(7): 716-729 (2001) - [j18]José Duato, Timothy Mark Pinkston:
A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources. IEEE Trans. Parallel Distributed Syst. 12(12): 1219-1235 (2001) - [c107]Xavier Molero, Federico Silla, Vicente Santonja, José Duato:
A Tool for the Design and Evaluation of Fibre Channel Storage Area Networks. Annual Simulation Symposium 2001: 133- - [c106]E. Moyano, Francisco J. Quiles, Antonio Jose Garrido del Solo, José Duato, Luis Orozco-Barbosa:
Efficient 3d Wavelet Transform Decomposition For Video Compression. Workshop on Digital and Computational Video 2001: 118-125 - [c105]Rosa Alcover, Vicente Chirivella, José Duato:
Improving the Accuracy of Reliability Models for Direct Interconnection Networks. Euro-Par 2001: 621-629 - [c104]Xavier Molero, Federico Silla, Vicente Santonja, José Duato:
Improving Network Performance by Efficiently Dealing with Short Control Messages in Fibre Channel SANs. Euro-Par 2001: 901-910 - [c103]Juan Fernández, José M. García, José Duato:
Performance Evaluation of Real-Time Communication Services on High-Speed LANs under Topology Changes. HiPC 2001: 341-350 - [c102]Manuel E. Acacio, José González, José M. García, José Duato:
A New Scalable Directory Architecture for Large-Scale Multiprocessors. HPCA 2001: 97-106 - [c101]María Blanca Caminero, Carmen Carrión, Francisco J. Quiles, José Duato, Sudhakar Yalamanchili:
A Cost-Effective Hardware Link Scheduling Algorithm for the Multimedia Router (MMR). ICN (2) 2001: 358-369 - [c100]Xavier Molero, Federico Silla, Vicente Santonja, José Duato:
On the Switch Architecture for Fibre Channel Storage Area Networks. ICPADS 2001: 484-491 - [c99]José Carlos Sancho, Antonio Robles, José Duato:
Effective Strategy to Compute Forwarding Tables for InfiniBand Networks. ICPP 2001: 48-60 - [c98]Pedro López, José Flich, José Duato:
Deadlock-Free Routing in InfiniBand through Destination Renaming. ICPP 2001: 427-436 - [c97]Vicente Chirivella, Rosa Alcover, José Duato:
Accurate Availability Model for Direct Interconnection Networks. ICPP 2001: 517-524 - [c96]Juan M. Orduña, Federico Silla, José Duato:
A New Task Mapping Technique for Communication-Aware Scheduling Strategies. ICPP Workshops 2001: 349-354 - [c95]José Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki:
Improving Network Performance by Reducing Network Contention in Source-Based COWs with a Low Path-Computation Overhead. IPDPS 2001: 70 - [c94]Joaquin Fernández, José M. García, José Duato:
A New Approach to Provide Real-Time Services on High-Speed Local Area Networks. IPDPS 2001: 124 - [c93]María Blanca Caminero, Carmen Carrión, Francisco J. Quiles, José Duato, Sudhakar Yalamanchili:
Tuning Buffer Size in the Multimedia Router (MMR). IPDPS 2001: 160 - [c92]Salvador Coll, José Flich, Manuel P. Malumbres, Pedro López, José Duato, Francisco J. Mora:
A First Implementation of In-Transit Buffers on Myrinet GM Software. IPDPS 2001: 162 - [c91]Xavier Molero, Federico Silla, Vicente Santonja, José Duato:
On the Interconnection Topology for Storage Area Networks. IPDPS 2001: 162 - [c90]Rafael Casado, Aurelio Bermúdez, Francisco J. Quiles, José Duato:
Influence of Network Size and Load on the Performance of Reconfiguration Protocols. NCA 2001: 46-59 - [c89]José Duato:
On the Design of High-Speed Switch Fabrics. NCA 2001: 158 - [c88]Xavier Molero, Federico Silla, Vicente Santonja, José Duato:
On the Scalability of Topologies for Storage Area Networks in Building Environments. NCA 2001: 332-335 - [c87]Xavier Molero, Federico Silla, Vicente Santonja, José Duato:
On the Impact of Message Packetization in Networks of Workstations with Irregular Topology. PDP 2001: 3-10 - [c86]José Carlos Sancho, Antonio Robles, José Duato:
On the Relative Behavior of Source and Distributed Routing in NOWs Using Up/Down Routing Schemes. PDP 2001: 11-18 - [c85]Elvira Baydal, Pedro López, José Duato:
A Congestion Control Mechanism for Wormhole Networks. PDP 2001: 19-26 - 2000
- [j17]Manuel P. Malumbres, José Duato:
An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors. J. Syst. Archit. 46(11): 1019-1032 (2000) - [j16]Young-Joo Suh, Binh Vien Dao, José Duato, Sudhakar Yalamanchili:
Software-Based Rerouting for Fault-Tolerant Pipelined Communication. IEEE Trans. Parallel Distributed Syst. 11(3): 193-211 (2000) - [j15]Federico Silla, José Duato:
High-Performance Routing in Networks of Workstations with Irregular Topology. IEEE Trans. Parallel Distributed Syst. 11(7): 699-719 (2000) - [j14]Federico Silla, José Duato:
On the Use of Virtual Channels in Networks of Workstations with Irregular Topology. IEEE Trans. Parallel Distributed Syst. 11(8): 813-828 (2000) - [c84]José Carlos Sancho, Antonio Robles, José Duato:
A New Methodology to Computer Deadlock-Free Routing Tables for Irregular Networks. CANPC 2000: 45-60 - [c83]Francisco José Alfaro, Aurelio Bermúdez, Rafael Casado, José Duato, Francisco J. Quiles, José L. Sánchez:
On the Performance of Up*/Down* Routing. CANPC 2000: 61-72 - [c82]Aurelio Bermúdez, Francisco José Alfaro, Rafael Casado, José Duato, Francisco J. Quiles, José L. Sánchez:
Extending Dynamic Reconfiguration to NOWs with Adaptive Routing. CANPC 2000: 73-83 - [c81]Darius Buntinas, Dhabaleswar K. Panda, José Duato, P. Sadayappan:
Broadcast/Multicast over Myrinet Using NIC-Assisted Multidestination Messages. CANPC 2000: 115-129 - [c80]Juan M. Orduña, Vicente Arnau, José Duato:
Characterization of Communications between Processes in Message-Passing Applications. CLUSTER 2000: 91-98 - [c79]José Duato:
Routing and Communication in Interconnection Networks. Euro-Par 2000: 875-876 - [c78]Praveen Holenarsipur, Vladimir Yarmolenko, José Duato, Dhabaleswar K. Panda, P. Sadayappan:
Characterization and enhancement of Static Mapping Heuristics for Heterogeneous Systems. HiPC 2000: 37-48 - [c77]Rafael Casado, Aurelio Bermúdez, Francisco J. Quiles, José L. Sánchez, José Duato:
Performance Evaluation of Dynamic Reconfiguration in High-Speed Local Area Networks. HPCA 2000: 85-96 - [c76]Xavier Molero, Federico Silla, Vicente Santonja, José Duato:
Performance analysis of storage area networks using high-speed LAN interconnects. ICON 2000: 474-478 - [c75]José Flich, Pedro López, Manuel P. Malumbres, José Duato:
Improving the Performance of Regular Networks with Source Routing. ICPP 2000: 353-361 - [c74]Juan M. Orduña, Vicente Arnau, Aurelio Ruiz, Rodrigo Valero, José Duato:
On the Design of Communication-Aware Task Scheduling Strategies for Heterogeneous Systems. ICPP 2000: 391-404 - [c73]Ruoming Pang, Timothy Mark Pinkston, José Duato:
The Double Scheme: Deadlock-Free Dynamic Reconfiguration of Cut-Through Networks. ICPP 2000: 439-448 - [c72]Olav Lysne, José Duato:
Fast Dynamic Reconfiguration in Irregular Networks. ICPP 2000: 449-460 - [c71]Vladimir Yarmolenko, José Duato, Dhabaleswar K. Panda, P. Sadayappan:
Characterization and Enhancement of Dynamic Mapping Heuristics for Heterogeneous Systems. ICPP Workshops 2000: 437-446 - [c70]José Flich, Manuel P. Malumbres, Pedro López, José Duato:
Performance evaluation of a new routing strategy for irregular networks with source routing. ICS 2000: 34-43 - [c69]Damon S. Love, Sudhakar Yalamanchili, José Duato, María Blanca Caminero, Francisco J. Quiles:
Switch Scheduling in the Multimedia Router (MMR). IPDPS 2000: 5-12 - [c68]José Flich, Manuel P. Malumbres, Pedro López, José Duato:
Improving Routing Performance in Myrinet Networks. IPDPS 2000: 27-32 - [c67]Elvira Baydal, Pedro López, José Duato:
A Simple and Efficient Mechanism to Prevent Saturation in Wormhole Networks. IPDPS 2000: 617-622 - [c66]Xavier Molero, Federico Silla, Vicente Santonja, José Duato:
Performance Sensitivity of Routing Algorithms to Failures in Networks of Worksations. ISHPC 2000: 230-242 - [c65]José Carlos Sancho, Antonio Robles, José Duato:
A Flexible Routing Scheme for Networks of Workstations. ISHPC 2000: 260-267 - [c64]Juan Carlos Martínez, Federico Silla, Pedro López, José Duato:
On the Influence of the Selection Function on the Performance of Networks of Workstations. ISHPC 2000: 292-299 - [c63]José Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki:
Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing. ISHPC 2000: 300-309 - [c62]Rosa Alcover, Vicente Chirivella, José Duato:
An Accurate Analysis of Reliability Parameters in Meshes with Fault-Tolerant Adaptive Routing. ISPAN 2000: 88-93 - [c61]Xavier Molero, Federico Silla, Vicente Santonja, José Duato:
On the Effect of Link Failures in Fibre Channel Storage Area Networks. ISPAN 2000: 102-113 - [c60]Xavier Molero, Federico Silla, Vicente Santonja, José Duato:
Modeling and Simulation of Storage Area Networks. MASCOTS 2000: 307-314
1990 – 1999
- 1999
- [j13]Binh Vien Dao, José Duato, Sudhakar Yalamanchili:
Dynamically Configurable Message Flow Control for Fault-Tolerant Routing. IEEE Trans. Parallel Distributed Syst. 10(1): 7-22 (1999) - [c59]Federico Silla, José Duato:
Is It Worth the Flexibility Provided by Irregular Topologies in Networks of Workstations? CANPC 1999: 47-61 - [c58]María Blanca Caminero, Francisco J. Quiles, José Duato, Damon S. Love, Sudhakar Yalamanchili:
Performance Evaluation of the Multimedia Router with MPEG-2 Video Traffic. CANPC 1999: 62-76 - [c57]Rafael Casado, Francisco J. Quiles, José L. Sánchez, José Duato:
Deadlock-Free Routing in Irregular Networks with Dynamic Reconfiguration. CANPC 1999: 165-180 - [c56]José Duato, Sudhakar Yalamanchili, María Blanca Caminero, Damon S. Love, Francisco J. Quiles:
MMR: A High-Performance Multimedia Router - Architecture and Design Trade-Offs. HPCA 1999: 300-309 - [c55]Juan-Miguel Martínez, Pedro López, José Duato:
Impact of Buffer Size on the Efficiency of Deadlock Detection. HPCA 1999: 315-318 - [c54]Valentin Puente, Ramón Beivide, José A. Gregorio, J. M. Prellezo, José Duato, Cruz Izu:
Adaptive Bubble Router: A Design to Improve Performance in Torus Networks. ICPP 1999: 58-67 - [c53]José Flich, Manuel P. Malumbres, Pedro López, José Duato:
Performance Evaluation of Networks of Workstations with Hardware Shared Memory Model Using Execution-Driven Simulation. ICPP 1999: 146-153 - [c52]José F. Martínez, Josep Torrellas, José Duato:
Improving the performance of bristled CC-NUMA systems using virtual channels and adaptivity. International Conference on Supercomputing 1999: 202-209 - [c51]José Duato, Antonio Robles, Federico Silla, Ramón Beivide:
A Comparison of Router Architectures for Virtual Cut-Through and Wormhole Switching in a NOWEnvironment. IPPS/SPDP 1999: 240-247 - [c50]Pedro López, Rosa Alcover, José Duato, Luisa Zúnica:
Optimizing network throughput: optimal versus robust design. PDP 1999: 45-52 - 1998
- [j12]Román García, José Duato:
Suboptimal-Optimal Routing for LAN Internetworking Using Transparent Bridges. Int. J. Found. Comput. Sci. 9(2): 139-156 (1998) - [j11]Pedro López, Rosa Alcover, José Duato, Luisa Zúnica:
A cost-effective methodology for the evaluation of interconnection networks. J. Syst. Archit. 44(9-10): 815-830 (1998) - [c49]Rafael Casado, María Blanca Caminero, Pedro Cuenca, Francisco J. Quiles, Antonio Jose Garrido del Solo, José Duato:
A Tool for the Analysis of Reconfiguration and Routing Algorithms in Irregular Networks. CANPC 1998: 159-173 - [c48]José Flich, Pedro López, Manuel P. Malumbres, José Duato:
Edinet: An Execution Driven Interconnection Network Simulator for DSM Systems. Computer Performance Evaluation (Tools) 1998: 336-339 - [c47]Federico Silla, José Duato, Anand Sivasubramaniam, Chita R. Das:
Virtual channel multiplexing in networks of workstations with irregular topology. HiPC 1998: 147-154 - [c46]Pedro López, Juan-Miguel Martínez, José Duato:
A Very Efficient Distributed Deadlock Detection Mechanism for Wormhole Networks. HPCA 1998: 57-66 - [c45]Federico Silla, Antonio Robles, José Duato:
Improving Performance of Networks of Workstations by using Disha Concurrent. ICPP 1998: 80-87 - [c44]Federico Silla, Manuel P. Malumbres, José Duato, Donglai Dai, Dhabaleswar K. Panda:
Impact of Adaptivity on the Behaviour of Networks of Workstations under Bursty Traffic. ICPP 1998: 88-95 - [c43]Román García, José Duato, José Serrano:
A New Transparent Bridge Protocol for LAN Internetworking using Topologies with Active Loops. ICPP 1998: 295-303 - [c42]Hank G. Dietz, José Duato, Steven L. Scott, Thomas L. Sterling, Craig B. Stunkel, Stephen R. Wheat:
Convergence Points on Commercial Parallel Systems: Do We Have the Node Architecture? Do We Have the Network? Do We Have the Programming Paradigm? ICPP 1998: 392-395 - [c41]Pedro López, Juan-Miguel Martínez, José Duato:
DRIL: Dynamically Reduced Message Injection Limitation Mechanism for Wormhole Networks. ICPP 1998: 535-542 - [c40]Juan M. Orduña, José Duato:
On the Design of Network Routers for Multimedia Applications. ICPP Workshops 1998: 13-20 - [c39]José L. Sánchez, José Duato, José M. García:
Using channel pipelining in reconfigurable interconnection networks. PDP 1998: 120-126 - [c38]José Duato:
Deadlock avoidance and adaptive routing in interconnection networks. PDP 1998: 359-364 - [c37]Pedro López, José Duato:
A lab course on computer architecture. WCAE@ISCA 1998: 11 - [e1]Sudhakar Yalamanchili, José Duato:
Parallel Computer Routing and Communication, Second International Workshop, PCRCW'97, Atlanta, Georgia, USA, June 26-27, 1997, Proceedings. Lecture Notes in Computer Science 1417, Springer 1998, ISBN 3-540-64571-3 [contents] - 1997
- [b1]José Duato, Sudhakar Yalamanchili, Lionel M. Ni:
Interconnection networks - an engineering approach. IEEE 1997, ISBN 978-0-8186-7800-4, pp. I-XVIII, 1-515 - [j10]José Duato:
A Theory of Fault-Tolerant Routing in Wormhole Networks. IEEE Trans. Parallel Distributed Syst. 8(8): 790-802 (1997) - [c36]Federico Silla, Manuel P. Malumbres, Antonio Robles, Pedro López, José Duato:
Efficient Adaptive Routing in Networks of Workstations with Irregular Topology. CANPC 1997: 46-60 - [c35]Teresa Olivares Montes, Pedro Angel Cuenca-Castillo, Francisco José Quiles Flor, Antonio Jose Garrido del Solo, José Luis Sánchez Garcia, José Duato Marín:
Interconnection network behavior on a multicomputer in the parallelization of the MPEG coding algorithm. Worm-hole vs. packet-switching routing. HiPC 1997: 48-53 - [c34]Fabrizio Petrini, José Duato, Pedro López, Juan-Miguel Martínez:
LIFE: a limited injection, fully adaptive, recovery-based routing algorithm. HiPC 1997: 316-321 - [c33]Federico Silla, José Duato:
Improving the efficiency of adaptive routing in networks with irregular topology. HiPC 1997: 330-335 - [c32]Binh Vien Dao, Sudhakar Yalamanchili, José Duato:
Architectural Support for Reducing Communication Overhead in Multiprocessor Interconnection Networks. HPCA 1997: 343-352 - [c31]Juan-Miguel Martínez, Pedro López, José Duato, Timothy Mark Pinkston:
Software-Based Deadlock Recovery Technique for True Fully Adaptive Routing in Wormhole Networks. ICPP 1997: 182-189 - [c30]José Duato, Pedro López, Sudhakar Yalamanchili:
Deadlock- and Livelock-Free Routing Protocols for Wave Switching. IPPS 1997: 570-577 - [c29]Federico Silla, José Duato:
On the Use of Virtual Channels in Networks of Workstations with Irregular Topology. PCRCW 1997: 203-216 - [c28]Pedro López, Juan-Miguel Martínez, José Duato, Fabrizio Petrini:
On the Reduction of Deadlock Frequency by Limiting Message Injection in Wormhole Networks. PCRCW 1997: 295-307 - [c27]Antonio Robles, José Duato:
Multilink extension to support deadlock-free adaptive non-minimal routing. PDP 1997: 431-436 - [c26]Ji-Yun Kirn, José Duato, Jung Wan Cho:
Channel Bypassing: A Deadlock-Free Flow Control Policy for Adaptive Routing in Wormhole Networks. PDPTA 1997: 1219-1228 - 1996
- [j9]José Duato:
A Necessary and Sufficient Condition for Deadlock-Free Routing in Cut-Through and Store-and-Forward Networks. IEEE Trans. Parallel Distributed Syst. 7(8): 841-854 (1996) - [c25]José Duato, Manuel P. Malumbres:
Optimal Topology for Distributed Shared-Memory Multiprocessors: Hypercubes Again? Euro-Par, Vol. I 1996: 205-212 - [c24]José Duato, Pedro López, Federico Silla, Sudhakar Yalamanchili:
A High Performance Router Architecture for Interconnection Networks. ICPP, Vol. 1 1996: 61-68 - [c23]Anjan K. Venkatramani, Timothy Mark Pinkston, José Duato:
Generalized Theory for Deadlock-Free Adaptive Wormhole Routing and its Application to Disha Concurrent. IPPS 1996: 815-821 - [c22]Rosa Alcover, Pedro López, José Duato, Luisa Zúnica:
Interconnection Network Design: A Statistical Analysis of Interactions between Factors. PDP 1996: 211-218 - [c21]Manuel P. Malumbres, José Duato, Josep Torrellas:
An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors. SPDP 1996: 186-189 - 1995
- [j8]Pedro López, José Duato:
Deadlock-Free Fully-Adaptive Minimal Routing Algorithms: Limitations and Solutions. Comput. Artif. Intell. 14(2): 105-125 (1995) - [j7]José Duato:
A Theory of Deadlock-Free Adaptive Multicast Routing in Wormhole Networks. IEEE Trans. Parallel Distributed Syst. 6(9): 976-987 (1995) - [j6]José Duato:
A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks. IEEE Trans. Parallel Distributed Syst. 6(10): 1055-1067 (1995) - [c20]Young-Joo Suh, Binh Vien Dao, José Duato, Sudhakar Yalamanchili:
Software Based Fault-Tolerant Oblivious Routing in Pipelined Networks. ICPP (1) 1995: 101-105 - [c19]Binh Vien Dao, José Duato, Sudhakar Yalamanchili:
Configurable Flow Control Mechanisms for Fault-Tolerant Routing. ISCA 1995: 220-229 - 1994
- [j5]José Duato:
Improving the efficiency of virtual channels with time-dependent selection functions. Future Gener. Comput. Syst. 10(1): 45-58 (1994) - [j4]José Duato:
A Theory to Increase the Effective Redundancy in Wormhole Networks. Parallel Process. Lett. 4: 125-138 (1994) - [c18]José Duato, Pedro López:
Highly adaptive wormhole routing algorithms for N-dimensional torus. Interconnection Networks and Mapping and Scheduling Parallel Computations 1994: 87-104 - [c17]Ziqiang Liu, José Duato:
Adaptive Unicast and Multicast in 3D Mesh Networks. HICSS (1) 1994: 173-183 - [c16]José Duato, C. T. Howard Ho, Ferng-Ching Lin, Lionel M. Ni, Earl E. Swartzlander Jr.:
Is It Possible to Fairly Compare Interconnection Networks?. ICPADS 1994: 16-19 - [c15]José Duato:
A Thory of Fault-Tolerant routing in Wormhole Networks. ICPADS 1994: 600-607 - [c14]José Duato, V. B. Dao, Patrick T. Gaughan, Sudhakar Yalamanchili:
Scouting: Fully Adaptive, Deadlock-Free Routing in Faulty Pipelined Networks. ICPADS 1994: 608-613 - [c13]José Duato:
A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks. ICPP (1) 1994: 142-149 - [c12]José Duato, Pedro López:
Performance Evaluation of Adaptive Routing Algorithms for k-ary-n-cubes. PCRCW 1994: 45-59 - [c11]José Duato Marín, Pedro López:
Bandwidth Requirements For Wormhole Switches: A Simple And Efficient Design. PDP 1994: 377-384 - 1993
- [j3]José Duato:
On the Design of Deadlock-Free Adaptive Multicast Routing Algorithms. Parallel Process. Lett. 3: 321-333 (1993) - [j2]José Duato:
A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks. IEEE Trans. Parallel Distributed Syst. 4(12): 1320-1331 (1993) - [c10]José Duato:
A Theory to Increase the Effective Redundancy in Wormhole Networks. Decentralized and Distributed Systems 1993: 277-288 - [c9]Ziqiang Liu, José Duato, Lars-Erik Thorelli:
Grouping Virtual Channels for Deadlock-Free Adaptive Wormhole Routing. PARLE 1993: 254-265 - [c8]Pedro López, José Duato:
Deadlock-Free Adaptive Routing Algorithms for the 3D-Torus: Limitations and Solutions. PARLE 1993: 684-687 - [c7]José M. García, José Duato:
Dynamic reconfiguration of multicomputer networks: limitations and tradeoffs. PDP 1993: 317-323 - [c6]José Duato:
A New Theory of Deadlock-free Adaptive Multicast Routing in Wormhole Networks. SPDP 1993: 64-71 - 1992
- [j1]José Duato:
Channel Classes: A New Concept for Deadlock Avoidance in Wormhole Networks. Parallel Process. Lett. 2: 347-354 (1992) - [c5]José Duato:
Improving the Efficiency of Virtual Channels with Time-Dependent Selection Functions. PARLE 1992: 635-650 - 1991
- [c4]José Duato:
On the Design of Deadlock-Free Adaptive Routing Algorithms for Multicomputers: Theoretical Aspects. EDMCC 1991: 234-243 - [c3]José Duato:
On the Design of Deadlock-Free Adaptive Routing Algorithms for Multicomputers: Design Methodologies. PARLE (1) 1991: 390-405 - [c2]José Duato:
Deadlock-free adaptive routing algorithms for multicomputers: evaluation of a new algorithm. SPDP 1991: 840-847 - [c1]José M. García, José Duato:
An algorithm for dynamic reconfiguration of a multicomputer network. SPDP 1991: 848-855
Coauthor Index
aka: Francisco José Alfaro
aka: Francisco J. Alfaro-Cortes
aka: Francisco J. Alfaro-Cortés
aka: Blas Cuesta Saez
aka: María Engracia Gómez Requena
aka: Houcine Hassan Mohamed
aka: Pedro Juan López Rodríguez
aka: Juan Manuel Orduña
aka: Salvador Petit Marti
aka: Francisco José Quiles Flor
aka: Julio Sahuquillo Borrás
aka: José Luis Sánchez Garcia
aka: Juan A. Villar-Ortiz
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-23 21:23 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint