default search action
Arijit Raychowdhury
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j71]Foroozan Karimzadeh, Arijit Raychowdhury:
Twofold Sparsity: Joint Bit- and Network-Level Sparsity for Energy-Efficient Deep Neural Network Using RRAM Based Compute-In-Memory. IEEE Access 12: 35125-35134 (2024) - [j70]Zishen Wan, Yiming Gan, Bo Yu, Shaoshan Liu, Arijit Raychowdhury, Yuhao Zhu:
The Vulnerability-Adaptive Protection Paradigm. Commun. ACM 67(9): 66-77 (2024) - [j69]Ashwin Sanjay Lele, Muya Chang, Samuel D. Spetalnick, Brian Crafton, Shota Konno, Zishen Wan, Ashwin Bhat, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury:
A Heterogeneous RRAM In-Memory and SRAM Near-Memory SoC for Fused Frame and Event-Based Target Identification and Tracking. IEEE J. Solid State Circuits 59(1): 52-64 (2024) - [j68]Nealson Li, Muya Chang, Arijit Raychowdhury:
E-Gaze: Gaze Estimation With Event Camera. IEEE Trans. Pattern Anal. Mach. Intell. 46(7): 4796-4811 (2024) - [j67]Yu-Shun Hsiao, Zishen Wan, Tianyu Jia, Radhika Ghosal, Abdulrahman Mahmoud, Arijit Raychowdhury, David Brooks, Gu-Yeon Wei, Vijay Janapa Reddi:
Silent Data Corruption in Robot Operating System: A Case for End-to-End System-Level Fault Analysis Using Autonomous UAVs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(4): 1037-1050 (2024) - [j66]Sigang Ryu, Jaeha Kim, Arijit Raychowdhury:
Fractionally-Spaced Equalizers as Clock and Data Recovery Loops. IEEE Trans. Circuits Syst. I Regul. Pap. 71(7): 3002-3015 (2024) - [c159]Zishen Wan, Nandhini Chandramoorthy, Karthik Swaminathan, Pin-Yu Chen, Kshitij Bhardwaj, Vijay Janapa Reddi, Arijit Raychowdhury:
MulBERRY: Enabling Bit-Error Robustness for Energy-Efficient Multi-Agent Autonomous Systems. ASPLOS (2) 2024: 746-762 - [c158]Zishen Wan, Che-Kai Liu, Mohamed Ibrahim, Hanchen Yang, Samuel Spetalnick, Tushar Krishna, Arijit Raychowdhury:
H3DFact: Heterogeneous 3D Integrated CIM for Factorization with Holographic Perceptual Representations. DATE 2024: 1-6 - [c157]Kaushik Bhattacharyya, Minxiang Gong, Muya Chang, Xin Zhang, Arijit Raychowdhury:
A 24/48V to 0.8V-1.2V All-Digital Synchronous Buck Converter with Package-Integrated GaN power FETs and 180nm Silicon Controller IC. ISCAS 2024: 1-5 - [c156]Rakshith Saligram, Amol D. Gaidhane, Yu Kevin Cao, Suman Datta, Arijit Raychowdhury:
Cooling the Chaos: Mitigating the Effect of Threshold Voltage Variation in Cryogenic CMOS Memories. ISLPED 2024: 1-6 - [c155]Laith A. Shamieh, Wei-Chun Wang, Shida Zhang, Rakshith Saligram, Amol D. Gaidhane, Yu Cao, Arijit Raychowdhury, Suman Datta, Saibal Mukhopadhyay:
Cryogenic Operation of Computing-In-Memory based Spiking Neural Network. ISLPED 2024: 1-6 - [c154]Zishen Wan, Che-Kai Liu, Hanchen Yang, Ritik Raj, Chaojian Li, Haoran You, Yonggan Fu, Cheng Wan, Ananda Samajdar, Yingyan Celine Lin, Tushar Krishna, Arijit Raychowdhury:
Towards Cognitive AI Systems: Workload and Characterization of Neuro-Symbolic AI. ISPASS 2024: 268-279 - [c153]Samuel D. Spetalnick, Ashwin Sanjay Lele, Brian Crafton, Muya Chang, Sigang Ryu, Jong-Hyeok Yoon, Zhijian Hao, Azadeh Ansari, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury:
30.1 A 40nm VLIW Edge Accelerator with 5MB of 0.256pJ/b RRAM and a Localization Solver for Bristle Robot Surveillance. ISSCC 2024: 482-484 - [c152]Jingtian Dang, Jianming Tong, Anupam Golder, Cong Hao, Arijit Raychowdhury, Tushar Krishna:
Accurate Low-Degree Polynomial Approximation of Non-Polynomial Operators for Fast Private Inference in Homomorphic Encryption. MLSys 2024 - [c151]Brian Crafton, Samuel D. Spetalnick, Muya Chang, Arijit Raychowdhury:
A 28nm Approximate / Binary 6T CAM for Sequence Alignment. VLSI Technology and Circuits 2024: 1-2 - [c150]Suman Datta, E. Sarkar, Khandker Akif Aabrar, Shan Deng, J. Shin, Arijit Raychowdhury, Shimeng Yu, Asif Khan:
Amorphous Oxide Semiconductors for Monolithic 3D Integrated Circuits. VLSI Technology and Circuits 2024: 1-2 - [c149]Shota Konno, Zachary J. Ellis, Anupam Golder, Sigang Ryu, Daniel Dinu, Avinash Varna, Sanu Mathew, Arijit Raychowdhury:
A 65nm Delta-Sigma ADC Based VDD-Variation-Tolerant Power-Side-Channel-Attack Monitor with Detection Capability Down to 0.25Ω. VLSI Technology and Circuits 2024: 1-2 - [i44]Zishen Wan, Che-Kai Liu, Hanchen Yang, Chaojian Li, Haoran You, Yonggan Fu, Cheng Wan, Tushar Krishna, Yingyan Lin, Arijit Raychowdhury:
Towards Cognitive AI Systems: a Survey and Prospective on Neuro-Symbolic AI. CoRR abs/2401.01040 (2024) - [i43]Jianming Tong, Jingtian Dang, Anupam Golder, Callie Hao, Arijit Raychowdhury, Tushar Krishna:
Accurate Low-Degree Polynomial Approximation of Non-polynomial Operators for Fast Private Inference in Homomorphic Encryption. CoRR abs/2404.03216 (2024) - [i42]Zishen Wan, Che-Kai Liu, Mohamed Ibrahim, Hanchen Yang, Samuel Spetalnick, Tushar Krishna, Arijit Raychowdhury:
H3DFact: Heterogeneous 3D Integrated CIM for Factorization with Holographic Perceptual Representations. CoRR abs/2404.04173 (2024) - [i41]Zishen Wan, Che-Kai Liu, Hanchen Yang, Ritik Raj, Chaojian Li, Haoran You, Yonggan Fu, Cheng Wan, Sixu Li, Youbin Kim, Ananda Samajdar, Yingyan Celine Lin, Mohamed Ibrahim, Jan M. Rabaey, Tushar Krishna, Arijit Raychowdhury:
Towards Efficient Neuro-Symbolic AI: From Workload Characterization to Hardware Architecture. CoRR abs/2409.13153 (2024) - [i40]Zishen Wan, Yiming Gan, Bo Yu, Shaoshan Liu, Arijit Raychowdhury, Yuhao Zhu:
VAP: The Vulnerability-Adaptive Protection Paradigm Toward Reliable Autonomous Machines. CoRR abs/2409.19892 (2024) - 2023
- [j65]Masum Hossain, Arijit Raychowdhury, Sanu K. Mathew, Yakun Sophia Shao, Yih Wang:
Guest Editorial Introduction to the Special Issue on the 2022 IEEE International Solid-State Circuits Conference (ISSCC). IEEE J. Solid State Circuits 58(1): 3-7 (2023) - [j64]Minxiang Gong, Hua Chen, Xin Zhang, Rinkle Jain, Arijit Raychowdhury:
A 90.4% Peak Efficiency 48-to-1-V GaN/Si Hybrid Converter With Three-Level Hybrid Dickson Topology and Gradient Descent Run-Time Optimizer. IEEE J. Solid State Circuits 58(4): 1002-1014 (2023) - [c148]Ashwin Bhat, Arijit Raychowdhury:
Reducing Overhead of Feature Importance Visualization via Static GradCAM Computation. AICAS 2023: 1-5 - [c147]Anupam Golder, Arijit Raychowdhury:
PCB Identification Based on Machine Learning Utilizing Power Consumption Variability. AICAS 2023: 1-4 - [c146]Nealson Li, Ashwin Bhat, Arijit Raychowdhury:
E-Track: Eye Tracking with Event Camera for Extended Reality (XR) Applications. AICAS 2023: 1-5 - [c145]Ashwin Bhat, Arijit Raychowdhury:
Explainable ECG Beat Classification On The Edge for Smart, Trustworthy and Low-Power Wearables. BioCAS 2023: 1-5 - [c144]Mustafa Fayez Ali, Indranil Chakraborty, Sakshi Choudhary, Muya Chang, Dong Eun Kim, Arijit Raychowdhury, Kaushik Roy:
A 65 nm 1.4-6.7 TOPS/W Adaptive-SNR Sparsity-Aware CIM Core with Load Balancing Support for DL workloads. CICC 2023: 1-2 - [c143]Ashwin Sanjay Lele, Muya Chang, Samuel D. Spetalnick, Brian Crafton, Arijit Raychowdhury, Yan Fang:
Neuromorphic Swarm on RRAM Compute-in-Memory Processor for Solving QUBO Problem. DAC 2023: 1-6 - [c142]Zishen Wan, Nandhini Chandramoorthy, Karthik Swaminathan, Pin-Yu Chen, Vijay Janapa Reddi, Arijit Raychowdhury:
BERRY: Bit Error Robustness for Energy-Efficient Reinforcement Learning-Based Autonomous Systems. DAC 2023: 1-6 - [c141]Kshitij Bhardwaj, Zishen Wan, Arijit Raychowdhury, Ryan A. Goldhahn:
Real-Time Fully Unsupervised Domain Adaptation for Lane Detection in Autonomous Driving. DATE 2023: 1-2 - [c140]Yu-Shun Hsiao, Zishen Wan, Tianyu Jia, Radhika Ghosal, Abdulrahman Mahmoud, Arijit Raychowdhury, David Brooks, Gu-Yeon Wei, Vijay Janapa Reddi:
MAVFI: An End-to-End Fault Analysis Framework with Anomaly Detection and Recovery for Micro Aerial Vehicles. DATE 2023: 1-6 - [c139]Zachary J. Ellis, Anupam Golder, Addison J. Elliott, Arijit Raychowdhury:
RAGA: Resource-Aware Tree-Splitting for High Performance Knuth-Yao-based Discrete Gaussian Sampling on FPGAs. ACM Great Lakes Symposium on VLSI 2023: 443-447 - [c138]Adou Sangbone Assoa, Ashwin Bhat, Sigang Ryu, Arijit Raychowdhury:
A Scalable Platform for Single-Snapshot Direction Of Arrival (DOA) Estimation in Massive MIMO Systems. ACM Great Lakes Symposium on VLSI 2023: 631-637 - [c137]Ashwin Bhat, Arijit Raychowdhury:
Non-Uniform Interpolation in Integrated Gradients for Low-Latency Explainable-AI. ISCAS 2023: 1-5 - [c136]Ashwin Sanjay Lele, Muya Chang, Samuel Spetalnick, Yan Fang, Brian Crafton, Shota Konno, Arijit Raychowdhury:
Live Demonstration: Hybrid RRAM and SRAM SoC for Fused Frame and Event Target Tracking. ISCAS 2023: 1 - [c135]Rakshith Saligram, Suman Datta, Arijit Raychowdhury:
Cryogenic CMOS as an Enabler for Low Power Dynamic Logic. ISLPED 2023: 1-6 - [c134]Muya Chang, Ashwin Sanjay Lele, Samuel D. Spetalnick, Brian Crafton, Shota Konno, Zishen Wan, Ashwin Bhat, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury:
A 73.53TOPS/W 14.74TOPS Heterogeneous RRAM In-Memory and SRAM Near-Memory SoC for Hybrid Frame and Event-Based Target Tracking. ISSCC 2023: 426-427 - [c133]Sigang Ryu, Adou Sangbone Assoa, Shota Konno, Arijit Raychowdhury:
A 65nm 60mW Dual-Loop Adaptive Digital Beamformer with Optimized Sidelobe Cancellation and On-Chip DOA Estimation for mm-Wave Applications. VLSI Technology and Circuits 2023: 1-2 - [c132]Samuel D. Spetalnick, Muya Chang, Shota Konno, Brian Crafton, Ashwin Sanjay Lele, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury:
A 2.38 MCells/mm2 9.81 -350 TOPS/W RRAM Compute-in-Memory Macro in 40nm CMOS with Hybrid Offset/IOFF Cancellation and ICELL RBLSL Drop Mitigation. VLSI Technology and Circuits 2023: 1-2 - [i39]Ashwin Bhat, Arijit Raychowdhury:
Non-Uniform Interpolation in Integrated Gradients for Low-Latency Explainable-AI. CoRR abs/2302.11107 (2023) - [i38]Kshitij Bhardwaj, Zishen Wan, Arijit Raychowdhury, Ryan A. Goldhahn:
Real-Time Fully Unsupervised Domain Adaptation for Lane Detection in Autonomous Driving. CoRR abs/2306.16660 (2023) - [i37]Zishen Wan, Nandhini Chandramoorthy, Karthik Swaminathan, Pin-Yu Chen, Vijay Janapa Reddi, Arijit Raychowdhury:
BERRY: Bit Error Robustness for Energy-Efficient Reinforcement Learning-Based Autonomous Systems. CoRR abs/2307.10041 (2023) - 2022
- [j63]Mithilesh Kumar, Alak Majumder, Abir J. Mondal, Arijit Raychowdhury, Bidyut K. Bhattacharyya:
A low power and PVT variation tolerant mux-latch for serializer interface and on-chip serial link. Integr. 87: 364-377 (2022) - [j62]Josef Danial, Debayan Das, Anupam Golder, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
EM-X-DL: Efficient Cross-device Deep Learning Side-channel Attack With Noisy EM Signatures. ACM J. Emerg. Technol. Comput. Syst. 18(1): 4:1-4:17 (2022) - [j61]Jong-Hyeok Yoon, Muya Chang, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury:
A 40-nm, 64-Kb, 56.67 TOPS/W Voltage-Sensing Computing-In-Memory/Digital RRAM Macro Supporting Iterative Write With Verification and Online Read-Disturb Detection. IEEE J. Solid State Circuits 57(1): 68-79 (2022) - [j60]Jong-Hyeok Yoon, Muya Chang, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury:
A 40-nm 118.44-TOPS/W Voltage-Sensing Compute-in-Memory RRAM Macro With Write Verification and Multi-Bit Encoding. IEEE J. Solid State Circuits 57(3): 845-857 (2022) - [j59]Ningyuan Cao, Baibhab Chatterjee, Jianbo Liu, Boyang Cheng, Minxiang Gong, Muya Chang, Shreyas Sen, Arijit Raychowdhury:
A 65 nm Wireless Image SoC Supporting On-Chip DNN Optimization and Real-Time Computation-Communication Trade-Off via Actor-Critical Neuro-Controller. IEEE J. Solid State Circuits 57(8): 2545-2559 (2022) - [j58]Ashwin Sanjay Lele, Yan Fang, Justin Ting, Arijit Raychowdhury:
An End-to-End Spiking Neural Network Platform for Edge Robotics: From Event-Cameras to Central Pattern Generation. IEEE Trans. Cogn. Dev. Syst. 14(3): 1092-1103 (2022) - [j57]Samuel Spetalnick, Arijit Raychowdhury:
A Practical Design-Space Analysis of Compute-in-Memory With SRAM. IEEE Trans. Circuits Syst. I Regul. Pap. 69(4): 1466-1479 (2022) - [j56]Foroozan Karimzadeh, Jong-Hyeok Yoon, Arijit Raychowdhury:
BitS-Net: Bit-Sparse Deep Neural Network for Energy-Efficient RRAM-Based Compute-In-Memory. IEEE Trans. Circuits Syst. I Regul. Pap. 69(5): 1952-1961 (2022) - [j55]Rakshith Saligram, Suman Datta, Arijit Raychowdhury:
Design Space Exploration of Interconnect Materials for Cryogenic Operation: Electrical and Thermal Analyses. IEEE Trans. Circuits Syst. I Regul. Pap. 69(11): 4610-4618 (2022) - [c131]Zishen Wan, Ashwin Sanjay Lele, Bo Yu, Shaoshan Liu, Yu Wang, Vijay Janapa Reddi, Cong Hao, Arijit Raychowdhury:
Robotic Computing on FPGAs: Current Progress, Research Challenges, and Opportunities. AICAS 2022: 291-295 - [c130]Zishen Wan, Ashwin Sanjay Lele, Arijit Raychowdhury:
Circuit and System Technologies for Energy-Efficient Edge Robotics: (Invited Paper). ASP-DAC 2022: 275-280 - [c129]Muya Chang, Xunzhao Yin, Zoltán Toroczkai, Xiaobo Hu, Arijit Raychowdhury:
An Analog Clock-free Compute Fabric base on Continuous-Time Dynamical System for Solving Combinatorial Optimization Problems. CICC 2022: 1-2 - [c128]Qiang Liu, Zishen Wan, Bo Yu, Weizhuang Liu, Shaoshan Liu, Arijit Raychowdhury:
An Energy-Efficient and Runtime-Reconfigurable FPGA-Based Accelerator for Robotic Localization Systems. CICC 2022: 1-2 - [c127]Brian Crafton, Zishen Wan, Samuel Spetalnick, Jong-Hyeok Yoon, Wei Wu, Carlos Tokunaga, Vivek De, Arijit Raychowdhury:
Improving compute in-memory ECC reliability with successive correction. DAC 2022: 745-750 - [c126]Zishen Wan, Aqeel Anwar, Abdulrahman Mahmoud, Tianyu Jia, Yu-Shun Hsiao, Vijay Janapa Reddi, Arijit Raychowdhury:
FRL-FI: Transient Fault Analysis for Federated Reinforcement Learning-Based Navigation Systems. DATE 2022: 430-435 - [c125]Anupam Golder, Ashwin Bhat, Arijit Raychowdhury:
Exploration into the Explainability of Neural Network Models for Power Side-Channel Analysis. ACM Great Lakes Symposium on VLSI 2022: 59-64 - [c124]Zishen Wan, Karthik Swaminathan, Pin-Yu Chen, Nandhini Chandramoorthy, Arijit Raychowdhury:
Analyzing and Improving Resilience and Robustness of Autonomous Systems. ICCAD 2022: 102:1-102:9 - [c123]Adarsh Kumar Kosta, Malik Aqeel Anwar, Priyadarshini Panda, Arijit Raychowdhury, Kaushik Roy:
RAPID-RL: A Reconfigurable Architecture with Preemptive-Exits for Efficient Deep-Reinforcement Learning. ICRA 2022: 7492-7498 - [c122]Brian Crafton, Connor Talley, Samuel Spetalnick, Jong-Hyeok Yoon, Arijit Raychowdhury:
Characterization and Mitigation of IR-Drop in RRAM-based Compute In-Memory. ISCAS 2022: 70-74 - [c121]Ashwin Sanjay Lele, Arijit Raychowdhury:
Fusing Frame and Event Vision for High-speed Optical Flow for Edge Application. ISCAS 2022: 804-808 - [c120]Muya Chang, Samuel D. Spetalnick, Brian Crafton, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury:
A 40nm 60.64TOPS/W ECC-Capable Compute-in-Memory/Digital 2.25MB/768KB RRAM/SRAM System with Embedded Cortex M3 Microprocessor for Edge Recommendation Systems. ISSCC 2022: 1-3 - [c119]Samuel D. Spetalnick, Muya Chang, Brian Crafton, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury:
A 40nm 64kb 26.56TOPS/W 2.37Mb/mm2RRAM Binary/Compute-in-Memory Macro with 4.23x Improvement in Density and >75% Use of Sensing Dynamic Range. ISSCC 2022: 1-3 - [c118]Ashwin Bhat, Adou Sangbone Assoa, Arijit Raychowdhury:
Gradient Backpropagation based Feature Attribution to Enable Explainable-AI on the Edge. VLSI-SoC 2022: 1-6 - [c117]Foroozan Karimzadeh, Arijit Raychowdhury:
Towards Energy Efficient DNN accelerator via Sparsified Gradual Knowledge Distillation. VLSI-SoC 2022: 1-6 - [c116]Foroozan Karimzadeh, Arijit Raychowdhury:
Towards CIM-friendly and Energy-Efficient DNN Accelerator via Bit-level Sparsity. VLSI-SoC 2022: 1-2 - [c115]Minxiang Gong, Xin Zhang, Arijit Raychowdhury:
A 90.4% Peak Efficiency 48V/1V Three-Level Hybrid Dickson Converter with Gradient Descent Run-Time Optimizer and GaN/Si Hybrid Conversion. VLSI Technology and Circuits 2022: 176-177 - [c114]Wriddhi Chakraborty, P. Shrestha, A. Gupta, Rakshith Saligram, Samuel Spetalnick, J. Campbell, Arijit Raychowdhury, Suman Datta:
Multi-bit per-cell 1T SiGe Floating Body RAM for Cache Memory in Cryogenic Computing. VLSI Technology and Circuits 2022: 302-303 - [i36]Qiang Liu, Zishen Wan, Bo Yu, Weizhuang Liu, Shaoshan Liu, Arijit Raychowdhury:
An Energy-Efficient and Runtime-Reconfigurable FPGA-Based Accelerator for Robotic Localization Systems. CoRR abs/2202.08952 (2022) - [i35]Zishen Wan, Ashwin Sanjay Lele, Arijit Raychowdhury:
Circuit and System Technologies for Energy-Efficient Edge Robotics. CoRR abs/2202.11237 (2022) - [i34]Zishen Wan, Aqeel Anwar, Abdulrahman Mahmoud, Tianyu Jia, Yu-Shun Hsiao, Vijay Janapa Reddi, Arijit Raychowdhury:
FRL-FI: Transient Fault Analysis for Federated Reinforcement Learning-Based Navigation Systems. CoRR abs/2203.07276 (2022) - [i33]Zishen Wan, Ashwin Sanjay Lele, Bo Yu, Shaoshan Liu, Yu Wang, Vijay Janapa Reddi, Cong Hao, Arijit Raychowdhury:
Robotic Computing on FPGAs: Current Progress, Research Challenges, and Opportunities. CoRR abs/2205.07149 (2022) - [i32]Ashwin Sanjay Lele, Arijit Raychowdhury:
Fusing Frame and Event Vision for High-speed Optical Flow for Edge Application. CoRR abs/2207.10720 (2022) - [i31]Ashwin Bhat, Adou Sangbone Assoa, Arijit Raychowdhury:
Gradient Backpropagation based Feature Attribution to Enable Explainable-AI on the Edge. CoRR abs/2210.10922 (2022) - 2021
- [j54]Brian Crafton, Samuel Spetalnick, Yan Fang, Arijit Raychowdhury:
Merged Logic and Memory Fabrics for Accelerating Machine Learning Workloads. IEEE Des. Test 38(1): 39-68 (2021) - [j53]Debayan Das, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
EM/Power Side-Channel Attack: White-Box Modeling and Signature Attenuation Countermeasures. IEEE Des. Test 38(3): 67-75 (2021) - [j52]Jong-Hyeok Yoon, Arijit Raychowdhury:
NeuroSLAM: A 65-nm 7.25-to-8.79-TOPS/W Mixed-Signal Oscillator-Based SLAM Accelerator for Edge Robotics. IEEE J. Solid State Circuits 56(1): 66-78 (2021) - [j51]Debayan Das, Josef Danial, Anupam Golder, Nirmoy Modak, Shovan Maity, Baibhab Chatterjee, Dong-Hyun Seo, Muya Chang, Avinash Varna, Harish K. Krishnamurthy, Sanu Mathew, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
EM and Power SCA-Resilient AES-256 Through >350× Current-Domain Signature Attenuation and Local Lower Metal Routing. IEEE J. Solid State Circuits 56(1): 136-150 (2021) - [j50]Foroozan Karimzadeh, Ningyuan Cao, Brian Crafton, Justin Romberg, Arijit Raychowdhury:
A Hardware-Friendly Approach Towards Sparse Neural Networks Based on LFSR-Generated Pseudo-Random Sequences. IEEE Trans. Circuits Syst. I Regul. Pap. 68(2): 751-764 (2021) - [j49]Minxiang Gong, Ningyuan Cao, Muya Chang, Arijit Raychowdhury:
A 65nm Thermometer-Encoded Time/Charge-Based Compute-in-Memory Neural Network Accelerator at 0.735pJ/MAC and 0.41pJ/Update. IEEE Trans. Circuits Syst. II Express Briefs 68(4): 1408-1412 (2021) - [c113]Brian Crafton, Andrew Paredes, Evan Gebhardt, Arijit Raychowdhury:
Hardware-Algorithm Co-Design Enabling Efficient Event-based Object Detection. AICAS 2021: 1-4 - [c112]Tian Gao, Zishen Wan, Yuyang Zhang, Bo Yu, Yanjun Zhang, Shaoshan Liu, Arijit Raychowdhury:
iELAS: An ELAS-Based Energy-Efficient Accelerator for Real-Time Stereo Matching on FPGA Platform. AICAS 2021: 1-4 - [c111]Zishen Wan, Yuyang Zhang, Arijit Raychowdhury, Bo Yu, Yanjun Zhang, Shaoshan Liu:
An Energy-Efficient Quad-Camera Visual System for Autonomous Machines on FPGA Platform. AICAS 2021: 1-4 - [c110]Brian Crafton, Samuel Spetalnick, Arijit Raychowdhury:
Merged Logic and Memory Fabrics for AI Workloads. ASP-DAC 2021: 305-310 - [c109]Brian Crafton, Samuel Spetalnick, Jong-Hyeok Yoon, Wei Wu, Carlos Tokunaga, Vivek De, Arijit Raychowdhury:
CIM-SECDED: A 40nm 64Kb Compute In-Memory RRAM Macro with ECC Enabling Reliable Operation. A-SSCC 2021: 1-3 - [c108]Rakshith Saligram, Suman Datta, Arijit Raychowdhury:
CryoMem: A 4K-300K 1.3GHz eDRAM Macro with Hybrid 2T-Gain-Cell in a 28nm Logic Process for Cryogenic Applications. CICC 2021: 1-2 - [c107]Rakshith Saligram, Divya Prasad, David Pietromonaco, Arijit Raychowdhury, Brian Cline:
A 64-Bit Arm CPU at Cryogenic temperatures: Design Technology Co-Optimization for Power and Performance. CICC 2021: 1-2 - [c106]Jong-Hyeok Yoon, Muya Chang, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury:
A 40nm 100Kb 118.44TOPS/W Ternary-weight Computein-Memory RRAM Macro with Voltage-sensing Read and Write Verification for reliable multi-bit RRAM operation. CICC 2021: 1-2 - [c105]Zishen Wan, Aqeel Anwar, Yu-Shun Hsiao, Tianyu Jia, Vijay Janapa Reddi, Arijit Raychowdhury:
Analyzing and Improving Fault Tolerance of Learning-Based Navigation Systems. DAC 2021: 841-846 - [c104]Brian Crafton, Samuel Spetalnick, Jong-Hyeok Yoon, Arijit Raychowdhury:
Statistical Optimization of Compute In-Memory Performance Under Device Variation. ISLPED 2021: 1-6 - [c103]Brian Crafton, Arijit Raychowdhury, Sung Kyu Lim:
Automatic Generation of Translators for Packet-Based and Emerging Protocols. ISQED 2021: 488-495 - [c102]Jong-Hyeok Yoon, Muya Chang, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury:
29.1 A 40nm 64Kb 56.67TOPS/W Read-Disturb-Tolerant Compute-in-Memory/Digital RRAM Macro with Active-Feedback-Based Read and In-Situ Write Verification. ISSCC 2021: 404-406 - [c101]Arijit Raychowdhury, Mijung Noh, Keith A. Bowman:
Session 35 Overview: Adaptive Digital Techniques for Variation Tolerant Systems Digital Circuits Subcommittee. ISSCC 2021: 488-489 - [c100]Nick Van Helleputte, Arijit Raychowdhury, Ping-Hsuan Hsieh, Jun Deguchi, Matteo Perenzoni, Esther Rodríguez-Villegas, Long Yan, Andreia Cathelin, Keith A. Bowman, Chris Van Hoof:
F3: Silicon Technologies in the Fight Against Pandemics - From Point of Care to Computational Epidemiology. ISSCC 2021: 520-524 - [c99]Edoardo Charbon, Alicia Klinefelter, Massimo Alioto, Yao-Hong Liu, Munehiko Nagatani, Arijit Raychowdhury, Andreia Cathelin, Boris Murmann:
F4: Electronics for a Quantum World. ISSCC 2021: 525-528 - [c98]Sihan Zeng, Malik Aqeel Anwar, Thinh T. Doan, Arijit Raychowdhury, Justin Romberg:
A decentralized policy gradient approach to multi-task reinforcement learning. UAI 2021: 1002-1012 - [i30]Aqeel Anwar, Arijit Raychowdhury:
Multi-Task Federated Reinforcement Learning with Adversaries. CoRR abs/2103.06473 (2021) - [i29]Zishen Wan, Yuyang Zhang, Arijit Raychowdhury, Bo Yu, Yanjun Zhang, Shaoshan Liu:
An Energy-Efficient Quad-Camera Visual System for Autonomous Machines on FPGA Platform. CoRR abs/2104.00192 (2021) - [i28]Tian Gao, Zishen Wan, Yuyang Zhang, Bo Yu, Yanjun Zhang, Shaoshan Liu, Arijit Raychowdhury:
iELAS: An ELAS-Based Energy-Efficient Accelerator for Real-Time Stereo Matching on FPGA Platform. CoRR abs/2104.05112 (2021) - [i27]Yu-Shun Hsiao, Zishen Wan, Tianyu Jia, Radhika Ghosal, Arijit Raychowdhury, David Brooks, Gu-Yeon Wei, Vijay Janapa Reddi:
MAVFI: An End-to-End Fault Analysis Framework with Anomaly Detection and Recovery for Micro Aerial Vehicles. CoRR abs/2105.12882 (2021) - [i26]Adarsh Kumar Kosta, Malik Aqeel Anwar, Priyadarshini Panda, Arijit Raychowdhury, Kaushik Roy:
RAPID-RL: A Reconfigurable Architecture with Preemptive-Exits for Efficient Deep-Reinforcement Learning. CoRR abs/2109.08231 (2021) - [i25]Zishen Wan, Aqeel Anwar, Yu-Shun Hsiao, Tianyu Jia, Vijay Janapa Reddi, Arijit Raychowdhury:
Analyzing and Improving Fault Tolerance of Learning-Based Navigation Systems. CoRR abs/2111.04957 (2021) - 2020
- [j48]Aqeel Anwar, Arijit Raychowdhury:
Autonomous Navigation via Deep Reinforcement Learning for Resource Constraint Edge Nodes Using Transfer Learning. IEEE Access 8: 26549-26560 (2020) - [j47]Josef Danial, Debayan Das, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
SCNIFFER: Low-Cost, Automated, Efficient Electromagnetic Side-Channel Sniffing. IEEE Access 8: 173414-173427 (2020) - [j46]Ashwin Sanjay Lele, Yan Fang, Justin Ting, Arijit Raychowdhury:
Learning to Walk: Bio-Mimetic Hexapod Locomotion via Reinforcement-Based Spiking Central Pattern Generation. IEEE J. Emerg. Sel. Topics Circuits Syst. 10(4): 536-545 (2020) - [j45]Ningyuan Cao, Muya Chang, Arijit Raychowdhury:
A 65-nm 8-to-3-b 1.0-0.36-V 9.1-1.1-TOPS/W Hybrid-Digital-Mixed-Signal Computing Platform for Accelerating Swarm Robotics. IEEE J. Solid State Circuits 55(1): 49-59 (2020) - [j44]Muya Chang, Li-Hsiang Lin, Justin Romberg, Arijit Raychowdhury:
OPTIMO: A 65-nm 279-GOPS/W 16-b Programmable Spatial-Array Processor with On-Chip Network for Solving Distributed Optimizations via the Alternating Direction Method of Multipliers. IEEE J. Solid State Circuits 55(3): 629-638 (2020) - [j43]Ali Keshavarzi, Kai Ni, Wilbert van den Hoek, Suman Datta, Arijit Raychowdhury:
FerroElectronics for Edge Intelligence. IEEE Micro 40(6): 33-48 (2020) - [c97]Aqeel Anwar, Arijit Raychowdhury, Ryan Hatcher, Titash Rakshit:
XBAROPT - Enabling Ultra-Pipelined, Novel STT MRAM Based Processing-in-Memory DNN Accelerator. AICAS 2020: 36-40 - [c96]Ashwin Sanjay Lele, Yan Fang, Justin Ting, Arijit Raychowdhury:
Learning to Walk: Spike Based Reinforcement Learning for Hexapod Robot Central Pattern Generation. AICAS 2020: 208-212 - [c95]Debayan Das, Josef Danial, Anupam Golder, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
Deep Learning Side-Channel Attack Resilient AES-256 using Current Domain Signature Attenuation in 65nm CMOS. CICC 2020: 1-4 - [c94]Justin Ting, Yan Fang, Ashwin Sanjay Lele, Arijit Raychowdhury:
Bio-inspired Gait Imitation of Hexapod Robot Using Event-Based Vision Sensor and Spiking Neural Network. IJCNN 2020: 1-7 - [c93]Samantak Gangopadhyay, James W. Tschanz, Arijit Raychowdhury:
A Quad-Output Elastic Switched Capacitor Converter and Per-Core LDO with 87% Power Efficiency and 2.5× Core-Frequency Range Improvement. ISCAS 2020: 1-5 - [c92]Foroozan Karimzadeh, Ningyuan Cao, Brian Crafton, Justin Romberg, Arijit Raychowdhury:
Hardware-Aware Pruning of DNNs using LFSR-Generated Pseudo-Random Indices. ISCAS 2020: 1-5 - [c91]Debayan Das, Josef Danial, Anupam Golder, Nirmoy Modak, Shovan Maity, Baibhab Chatterjee, Dong-Hyun Seo, Muya Chang, Avinash Varna, Harish Krishnamurthy, Sanu Mathew, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
27.3 EM and Power SCA-Resilient AES-256 in 65nm CMOS Through >350× Current-Domain Signature Attenuation. ISSCC 2020: 424-426 - [c90]Jong-Hyeok Yoon, Arijit Raychowdhury:
31.1 A 65nm 8.79TOPS/W 23.82mW Mixed-Signal Oscillator-Based NeuroSLAM Accelerator for Applications in Edge Robotics. ISSCC 2020: 478-480 - [c89]Minxiang Gong, Xin Zhang, Arijit Raychowdhury:
Non-isolated 48V-to-1V Heterogeneous Integrated Voltage Converters for High Performance Computing in Data Centers. MWSCAS 2020: 411-414 - [c88]Brian Crafton, Samuel Spetalnick, Gauthaman Murali, Tushar Krishna, Sung Kyu Lim, Arijit Raychowdhury:
Breaking Barriers: Maximizing Array Utilization for Compute in-Memory Fabrics. VLSI-SOC 2020: 123-128 - [c87]Rakshith Saligram, Ankit Kaul, Muhannad S. Bakir, Arijit Raychowdhury:
Multilevel Signaling for High-Speed Chiplet-to-Chiplet Communication. VLSI-SoC (Selected Papers) 2020: 149-178 - [c86]Rakshith Saligram, Ankit Kaul, Muhannad S. Bakir, Arijit Raychowdhury:
A Model Study of Multilevel Signaling for High-Speed Chiplet-to-Chiplet Communication in 2.5D Integration. VLSI-SOC 2020: 159-164 - [c85]Foroozan Karimzadeh, Arijit Raychowdhury:
Memory and Energy Efficient Method Toward Sparse Neural Network Using LFSR Indexing. VLSI-SOC 2020: 206-207 - [c84]Ashwin Sanjay Lele, Yan Fang, Justin Ting, Arijit Raychowdhury:
Online Reward-Based Training of Spiking Central Pattern Generator for Hexapod Locomotion. VLSI-SOC 2020: 208-209 - [c83]Brian Crafton, Samuel Spetalnick, Gauthaman Murali, Tushar Krishna, Sung Kyu Lim, Arijit Raychowdhury:
Statistical Array Allocation and Partitioning for Compute In-Memory Fabrics. VLSI-SoC (Selected Papers) 2020: 323-341 - [c82]Ningyuan Cao, Baibhab Chatterjee, Minxiang Gong, Muya Chang, Shreyas Sen, Arijit Raychowdhury:
A 65nm Image Processing SoC Supporting Multiple DNN Models and Real-Time Computation-Communication Trade-Off Via Actor-Critical Neuro-Controller. VLSI Circuits 2020: 1-2 - [i24]Ashwin Sanjay Lele, Yan Fang, Justin Ting, Arijit Raychowdhury:
Learning to Walk: Spike Based Reinforcement Learning for Hexapod Robot Central Pattern Generation. CoRR abs/2003.10026 (2020) - [i23]Justin Ting, Yan Fang, Ashwin Sanjay Lele, Arijit Raychowdhury:
Bio-inspired Gait Imitation of Hexapod Robot Using Event-Based Vision Sensor and Spiking Neural Network. CoRR abs/2004.05450 (2020) - [i22]Brian Crafton, Samuel Spetalnick, Arijit Raychowdhury:
Counting Cards: Exploiting Weight and Variance Distributions for Robust Compute In-Memory. CoRR abs/2006.03117 (2020) - [i21]Sihan Zeng, Aqeel Anwar, Thinh T. Doan, Justin Romberg, Arijit Raychowdhury:
A Decentralized Policy Gradient Approach to Multi-task Reinforcement Learning. CoRR abs/2006.04338 (2020) - [i20]Brian Crafton, Samuel Spetalnick, Gauthaman Murali, Tushar Krishna, Sung Kyu Lim, Arijit Raychowdhury:
Breaking Barriers: Maximizing Array Utilization for Compute In-Memory Fabrics. CoRR abs/2008.06741 (2020) - [i19]Aqeel Anwar, Arijit Raychowdhury:
Masked Face Recognition for Secure Authentication. CoRR abs/2008.11104 (2020) - [i18]Zishen Wan, Bo Yu, Thomas Yuang Li, Jie Tang, Yuhao Zhu, Yu Wang, Arijit Raychowdhury, Shaoshan Liu:
A Survey of FPGA-Based Robotic Computing. CoRR abs/2009.06034 (2020) - [i17]Josef Danial, Debayan Das, Anupam Golder, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
EM-X-DL: Efficient Cross-Device Deep Learning Side-Channel Attack with Noisy EM Signatures. CoRR abs/2011.06139 (2020) - [i16]Anupam Golder, Baogeng Ma, Debayan Das, Josef Danial, Shreyas Sen, Arijit Raychowdhury:
120.147 Efficient Electromagnetic Side Channel Analysis by Probe Positioning using Multi-Layer Perceptron. IACR Cryptol. ePrint Arch. 2020: 988 (2020)
2010 – 2019
- 2019
- [j42]Shreyas Sen, Arijit Raychowdhury, Shih-Lien Lu:
Guest Editors' Introduction: Intelligent Resource-Constrained Sensor Nodes. IEEE Des. Test 36(2): 5-6 (2019) - [j41]Baibhab Chatterjee, Shreyas Sen, Ningyuan Cao, Arijit Raychowdhury:
Context-Aware Intelligence in Resource-Constrained IoT Nodes: Opportunities and Challenges. IEEE Des. Test 36(2): 7-40 (2019) - [j40]Insik Yoon, Malik Aqeel Anwar, Rajiv V. Joshi, Titash Rakshit, Arijit Raychowdhury:
Hierarchical Memory System With STT-MRAM and SRAM to Support Transfer and Real-Time Reinforcement Learning in Autonomous Drones. IEEE J. Emerg. Sel. Topics Circuits Syst. 9(3): 485-497 (2019) - [j39]Anvesha Amaravati, Saad Bin Nasir, Justin Ting, Insik Yoon, Arijit Raychowdhury:
A 55-nm, 1.0-0.4V, 1.25-pJ/MAC Time-Domain Mixed-Signal Neuromorphic Accelerator With Stochastic Synapses for Reinforcement Learning in Autonomous Mobile Robots. IEEE J. Solid State Circuits 54(1): 75-87 (2019) - [j38]Arijit Raychowdhury, Abhinav Parihar, Gus Henry Smith, Vijaykrishnan Narayanan, György Csaba, Matthew Jerry, Wolfgang Porod, Suman Datta:
Computing With Networks of Oscillatory Dynamical Systems. Proc. IEEE 107(1): 73-89 (2019) - [j37]Anupam Golder, Debayan Das, Josef Danial, Santosh Ghosh, Shreyas Sen, Arijit Raychowdhury:
Practical Approaches Toward Deep-Learning-Based Cross-Device Power Side-Channel Attack. IEEE Trans. Very Large Scale Integr. Syst. 27(12): 2720-2733 (2019) - [c81]Muya Chang, Li-Hsiang Lin, Justin Romberg, Arijit Raychowdhury:
Optimo: A 65Nm 270Mhz 143.2Mw Programmable Spatial-Array-Processor With A Hierarchical Multi-Cast On-Chip Network For Solving Distributed Optimizations. CICC 2019: 1-4 - [c80]Insik Yoon, Ningyuan Cao, Anvesha Amaravati, Arijit Raychowdhury:
A 55nm 50nJ/encode 13nJ/decode Homomorphic Encryption Crypto-Engine for IoT Nodes to Enable Secure Computation on Encrypted Data. CICC 2019: 1-4 - [c79]Debayan Das, Anupam Golder, Josef Danial, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
X-DeepSCA: Cross-Device Deep Learning Side Channel Attack. DAC 2019: 134 - [c78]Patsy Cadareanu, N. Reddy C, Carmen G. Almudéver, A. Khanna, Arijit Raychowdhury, Suman Datta, Koen Bertels, Vijayakrishan Narayanan, Massimiliano Di Ventra, Pierre-Emmanuel Gaillardon:
Rebooting Our Computing Models. DATE 2019: 1469-1476 - [c77]Insik Yoon, Malik Aqeel Anwar, Titash Rakshit, Arijit Raychowdhury:
Transfer and Online Reinforcement Learning in STT-MRAM Based Embedded Systems for Autonomous Drones. DATE 2019: 1489-1494 - [c76]Muya Chang, Samantak Gangopadhyay, Tomer Hamam, Justin Romberg, Arijit Raychowdhury:
Efficient Signal Reconstruction via Distributed Least Square Optimization on a Systolic FPGA Architecture. ICASSP 2019: 1493-1497 - [c75]Brian Crafton, Matt West, Padip Basnet, Eric Vogel, Arijit Raychowdhury:
Local Learning in RRAM Neural Networks with Sparse Direct Feedback Alignment. ISLPED 2019: 1-6 - [c74]Ningyuan Cao, Muya Chang, Arijit Raychowdhury:
A 65nm 1.1-to-9.1TOPS/W Hybrid-Digital-Mixed-Signal Computing Platform for Accelerating Model-Based and Model-Free Swarm Robotics. ISSCC 2019: 222-224 - [c73]Francois Atallah, Keith A. Bowman, Hoan Nguyen, Jihoon Jeong, Daniel Yingling, Yu Sun, Brad Appel, Anthony Polomik, Mahesh Harinath, Joshua Morelli, Thomas Moore, Nathaniel Reeves, Amer Cassier, Arijit Raychowdhury:
A 7nm All-Digital Unified Voltage and Frequency Regulator Based on a High-Bandwidth 2-Phase Buck Converter with Package Inductors. ISSCC 2019: 316-318 - [c72]Keith A. Bowman, Samantak Gangopadhyay, Francois Atallah, Hoan Nguyen, Jihoon Jeong, Daniel Yingling, Anthony Polomik, Mahesh Harinath, Nathaniel Reeves, Amer Cassier, Brad Appel, Arijit Raychowdhury:
A 7nm Leakage-Current-Supply Circuit for LDO Dropout Voltage Reduction. VLSI Circuits 2019: 126- - [i15]Shaojie Xu, Anvesha Amaravati, Justin Romberg, Arijit Raychowdhury:
Appearance-based Gesture recognition in the compressed domain. CoRR abs/1903.00100 (2019) - [i14]Brian Crafton, Abhinav Parihar, Evan Gebhardt, Arijit Raychowdhury:
Direct Feedback Alignment with Sparse Connections for Local Learning. CoRR abs/1903.02083 (2019) - [i13]Insik Yoon, Malik Aqeel Anwar, Titash Rakshit, Arijit Raychowdhury:
Transfer and Online Reinforcement Learning in STT-MRAM Based Embedded Systems for Autonomous Drones. CoRR abs/1905.06314 (2019) - [i12]Insik Yoon, Matthew Jerry, Suman Datta, Arijit Raychowdhury:
Design space exploration of Ferroelectric FET based Processing-in-Memory DNN Accelerator. CoRR abs/1908.07942 (2019) - [i11]Josef Danial, Debayan Das, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
SCNIFFER: Low-Cost, Automated, EfficientElectromagnetic Side-Channel Sniffing. CoRR abs/1908.09407 (2019) - [i10]Aqeel Anwar, Arijit Raychowdhury:
Autonomous Navigation via Deep Reinforcement Learning for Resource Constraint Edge Nodes using Transfer Learning. CoRR abs/1910.05547 (2019) - [i9]Foroozan Karimzadeh, Ningyuan Cao, Brian Crafton, Justin Romberg, Arijit Raychowdhury:
Hardware-aware Pruning of DNNs using LFSR-Generated Pseudo-Random Indices. CoRR abs/1911.04468 (2019) - [i8]Debayan Das, Anupam Golder, Josef Danial, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
X-DeepSCA: Cross-Device Deep Learning Side Channel Attack. IACR Cryptol. ePrint Arch. 2019: 818 (2019) - 2018
- [j36]Saad Bin Nasir, Shreyas Sen, Arijit Raychowdhury:
Switched-Mode-Control Based Hybrid LDO for Fine-Grain Power Management of Digital Load Circuits. IEEE J. Solid State Circuits 53(2): 569-581 (2018) - [j35]Insik Yoon, Arijit Raychowdhury:
Modeling and Analysis of Magnetic Field Induced Coupling on Embedded STT-MRAM Arrays. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(2): 337-349 (2018) - [j34]Anvesha Amaravati, Shaojie Xu, Justin Romberg, Arijit Raychowdhury:
A 130 nm 165 nJ/frame Compressed-Domain Smashed-Filter-Based Mixed-Signal Classifier for "In-Sensor" Analytics in Smart Cameras. IEEE Trans. Circuits Syst. II Express Briefs 65-II(3): 296-300 (2018) - [j33]Debayan Das, Shovan Maity, Saad Bin Nasir, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
ASNI: Attenuated Signature Noise Injection for Low-Overhead Power Side-Channel Attack Immunity. IEEE Trans. Circuits Syst. I Regul. Pap. 65-I(10): 3300-3311 (2018) - [j32]Saad Bin Nasir, Shreyas Sen, Arijit Raychowdhury:
A Reconfigurable Hybrid Low Dropout Voltage Regulator for Wide-Range Power Supply Noise Rejection and Energy-Efficiency Trade-Off. IEEE Trans. Circuits Syst. II Express Briefs 65-II(12): 1864-1868 (2018) - [j31]Anvesha Amaravati, Shaojie Xu, Ningyuan Cao, Justin Romberg, Arijit Raychowdhury:
A Light-Powered Smart Camera With Compressed Domain Gesture Detection. IEEE Trans. Circuits Syst. Video Technol. 28(10): 3077-3085 (2018) - [j30]Ningyuan Cao, Justin Ting, Shreyas Sen, Arijit Raychowdhury:
Smart Sensing for HVAC Control: Collaborative Intelligence in Optical and IR Cameras. IEEE Trans. Ind. Electron. 65(12): 9785-9794 (2018) - [c71]Insik Yoon, Muya Chang, Kai Ni, Matthew Jerry, Samantak Gangopadhyay, Gus Henry Smith, Tomer Hamam, Vijayakrishan Narayanan, Justin Romberg, Shih-Lien Lu, Suman Datta, Arijit Raychowdhury:
A FeFET Based Processing-In-Memory Architecture for Solving Distributed Least-Square Optimizations. DRC 2018: 1-2 - [c70]György Csaba, Arijit Raychowdhury, Suman Datta, Wolfgang Porod:
Computing with Coupled Oscillators: Theory, Devices, and Applications. ISCAS 2018: 1-5 - [c69]Saad Bin Nasir, Anto Kavungal Davis, Mohamed Lamine Faycal Bellaredj, Madhavan Swaminathan, Arijit Raychowdhury, Adam Beece, Don Disney, Yong Wang, Hesam Fathi Moghadam, Eric Soenen, Jongku Kang, Yasuhiko Mano, Tomoharu Fuji:
A 65nm, 1.15-0.15V, 99.99% Current-efficient Digital Low Dropout Regulator with Asynchronous Non-linear Control for Droop Mitigation. ISCAS 2018: 1-5 - [c68]Anvesha Amaravati, Saad Bin Nasir, Sivaram Thangadurai, Insik Yoon, Arijit Raychowdhury:
A 55nm time-domain mixed-signal neuromorphic accelerator with stochastic synapses and embedded reinforcement learning for autonomous micro-robots. ISSCC 2018: 124-126 - [c67]Malik Aqeel Anwar, Arijit Raychowdhury:
NavREn-Rl: Learning to fly in real environment via end-to-end deep reinforcement learning using monocular images. M2VIP 2018: 1-6 - [c66]Abhinav Parihar, Anvesha Amaravati, Matthew Jerry, Suman Datta, Arijit Raychowdhury:
Dynamics of Coupled Systems and their Computing Properties Invited Paper : Invited Paper. NEWCAS 2018: 361-364 - [i7]Malik Aqeel Anwar, Arijit Raychowdhury:
NAVREN-RL: Learning to fly in real environment via end-to-end deep reinforcement learning using monocular images. CoRR abs/1807.08241 (2018) - 2017
- [j29]Ningyuan Cao, Saad Bin Nasir, Shreyas Sen, Arijit Raychowdhury:
Self-Optimizing IoT Wireless Video Sensor Node With In-Situ Data Analytics and Context-Driven Energy-Aware Real-Time Adaptation. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(9): 2470-2480 (2017) - [c65]Amaravati Anvesha, Arijit Raychowdhury:
A 65nm 376nA 0.4V linear classifier using time-based matrix-multiplying ADC with non-linearity aware training. A-SSCC 2017: 309-312 - [c64]Said Hamdioui, Peyman Pouyan, Huawei Li, Ying Wang, Arijit Raychowdhury, Insik Yoon:
Test and Reliability of Emerging Non-volatile Memories. ATS 2017: 175-183 - [c63]Amaravati Anvesha, Shaojie Xu, Justin Romberg, Arijit Raychowdhury:
A 65nm compressive-sensing time-based ADC with embedded classification and INL-aware training for arrhythmia detection. BioCAS 2017: 1-4 - [c62]Samantak Gangopadhyay, Saad Bin Nasir, Hoan Nguyen, Jihoon Jeong, Francois Atallah, Keith A. Bowman, Arijit Raychowdhury:
Digitally-assisted leakage current supply circuit for reducing the analog LDO minimum dropout voltage. CICC 2017: 1-4 - [c61]Suman Datta, Alan C. Seabaugh, Michael T. Niemier, Arijit Raychowdhury, Darrell Schlom, Debdeep Jena, Huili Grace Xing, H.-S. Philip Wong, Eric Pop, Sayeef S. Salahuddin, Sumeet Kumar Gupta, Supratik Guha:
In Quest of the Next Information Processing Substrate: Extended Abstract: Invited. DAC 2017: 17:1-17:6 - [c60]Debayan Das, Shovan Maity, Saad Bin Nasir, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
High efficiency power side-channel attack immunity using noise injection in attenuated signature domain. HOST 2017: 62-67 - [c59]Shaojie Xu, Anvesha Amaravati, Justin Romberg, Arijit Raychowdhury:
Appearance-based gesture recognition in the compressed domain. ICASSP 2017: 1722-1726 - [c58]Abhinav Parihar, Nikhil Shukla, Matthew Jerry, Suman Datta, Arijit Raychowdhury:
Connecting spectral techniques for graph coloring and eigen properties of coupled dynamics: A pathway for solving combinatorial optimizations (Invited paper). ICCAD 2017: 800-804 - [c57]Ningyuan Cao, Shreyas Sen, Arijit Raychowdhury:
Collaborative intelligence in optical/IR camera based wireless sensor nodes for HVAC control. IEEE SENSORS 2017: 1-3 - [c56]Abhinav Parihar, Nikhil Shukla, Matthew Jerry, Suman Datta, Arijit Raychowdhury:
Computational paradigms using oscillatory networks based on state-transition devices. IJCNN 2017: 3415-3422 - [c55]Insik Yoon, Arijit Raychowdhury:
Test challenges in embedded STT-MRAM arrays. ISQED 2017: 35-38 - [c54]Arijit Raychowdhury:
Innovative practices session 4A variation-tolerant design of circuits/systems. VTS 2017: 1 - [i6]Debayan Das, Shovan Maity, Saad Bin Nasir, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen:
High Efficiency Power Side-Channel Attack Immunity using Noise Injection in Attenuated Signature Domain. CoRR abs/1703.10328 (2017) - [i5]Abhinav Parihar, Matthew Jerry, Suman Datta, Arijit Raychowdhury:
Stochastic IMT (insulator-metal-transition) neurons: An interplay of thermal and threshold noise at bifurcation. CoRR abs/1708.06238 (2017) - 2016
- [j28]Sandip Ray, Yier Jin, Arijit Raychowdhury:
The Changing Computing Paradigm With Internet of Things: A Tutorial Introduction. IEEE Des. Test 33(2): 76-96 (2016) - [j27]Ashwin Chintaluri, Helia Naeimi, Suriyaprakash Natarajan, Arijit Raychowdhury:
Analysis of Defects and Variations in Embedded Spin Transfer Torque (STT) MRAM Arrays. IEEE J. Emerg. Sel. Topics Circuits Syst. 6(3): 319-329 (2016) - [j26]Rangharajan Venkatesan, Vivek Joy Kozhikkottu, Mrigank Sharad, Charles Augustine, Arijit Raychowdhury, Kaushik Roy, Anand Raghunathan:
Cache Design with Domain Wall Memory. IEEE Trans. Computers 65(4): 1010-1024 (2016) - [j25]Wei-Yu Tsai, Xueqing Li, Matthew Jerry, Baihua Xie, Nikhil Shukla, Huichu Liu, Nandhini Chandramoorthy, Matthew Cotter, Arijit Raychowdhury, Donald M. Chiarulli, Steven P. Levitan, Suman Datta, John Sampson, Nagarajan Ranganathan, Vijaykrishnan Narayanan:
Enabling New Computation Paradigms with HyperFET - An Emerging Device. IEEE Trans. Multi Scale Comput. Syst. 2(1): 30-48 (2016) - [c53]Saad Bin Nasir, Arijit Raychowdhury:
Embedded hybrid LDO topologies for digital load circuits. APCCAS 2016: 43-46 - [c52]Saad Bin Nasir, Shreyas Sen, Arijit Raychowdhury:
A 130nm hybrid low dropout regulator based on switched mode control for digital load circuits. ESSCIRC 2016: 317-320 - [c51]Samantak Gangopadhyay, Saad Bin Nasir, A. Subramanian, Visvesh Sathe, Arijit Raychowdhury:
UVFR: A Unified Voltage and Frequency Regulator with 500MHz/0.84V to 100KHz/0.27V operating range, 99.4% current efficiency and 27% supply guardband reduction. ESSCIRC 2016: 321-324 - [c50]Saad Bin Nasir, Arijit Raychowdhury:
All-digital linear regulators with proactive and reactive gain-boosting for supply droop mitigation in digital load circuits. ISCAS 2016: 205-208 - [c49]Amaravati Anvesha, Shaojie Xu, Ningyuan Cao, Justin Romberg, Arijit Raychowdhury:
A Light-powered, "Always-On", Smart Camera with Compressed Domain Gesture Detection. ISLPED 2016: 118-123 - [c48]Insik Yoon, Ashwin Chintaluri, Arijit Raychowdhury:
EMACS: Efficient MBIST architecture for test and characterization of STT-MRAM arrays. ITC 2016: 1-10 - [i4]Amaravati Anvesha, Shaojie Xu, Ningyuan Cao, Justin Romberg, Arijit Raychowdhury:
A Light-powered, Always-On, Smart Camera with Compressed Domain Gesture Detection. CoRR abs/1605.08313 (2016) - [i3]Abhinav Parihar, Nikhil Shukla, Matthew Jerry, Suman Datta, Arijit Raychowdhury:
Computing with Dynamical Systems Based on Insulator-Metal-Transition Oscillators. CoRR abs/1608.05648 (2016) - [i2]Abhinav Parihar, Nikhil Shukla, Matthew Jerry, Suman Datta, Arijit Raychowdhury:
Vertex coloring of graphs via phase dynamics of coupled oscillatory networks. CoRR abs/1609.02079 (2016) - 2015
- [j24]Paolo Maffezzoni, Luca Daniel, Nikhil Shukla, Suman Datta, Arijit Raychowdhury:
Modeling and Simulation of Vanadium Dioxide Relaxation Oscillators. IEEE Trans. Circuits Syst. I Regul. Pap. 62-I(9): 2207-2215 (2015) - [j23]Soham Jayesh Desai, Mohammed Shoaib, Arijit Raychowdhury:
An Ultra-Low Power, "Always-On" Camera Front-End for Posture Detection in Body Worn Cameras Using Restricted Boltzman Machines. IEEE Trans. Multi Scale Comput. Syst. 1(4): 187-194 (2015) - [c47]Ashwin Chintaluri, Abhinav Parihar, Suriyaprakash Natarajan, Helia Naeimi, Arijit Raychowdhury:
A Model Study of Defects and Faults in Embedded Spin Transfer Torque (STT) MRAM Arrays. ATS 2015: 187-192 - [c46]Jing Yang, Arijit Raychowdhury:
Session 6 - Analog circuits using digital cells. CICC 2015: 1 - [c45]Samantak Gangopadhyay, Saad Bin Nasir, Arijit Raychowdhury:
Integrated power management in IoT devices under wide dynamic ranges of operation. DAC 2015: 149:1-149:6 - [c44]Saad Bin Nasir, Samantak Gangopadhyay, Arijit Raychowdhury:
5.6 A 0.13μm fully digital low-dropout regulator with adaptive control and reduced dynamic stability for ultra-wide dynamic range. ISSCC 2015: 1-3 - [c43]Anvesha Amaravati, Manan Chugh, Arijit Raychowdhury:
A SAR Pipeline ADC Embedding Time Interleaved DAC Sharing for Ultra-low Power Camera Front Ends. VLSI-SoC (Selected Papers) 2015: 131-149 - [c42]Anvesha Amaravati, Manan Chugh, Arijit Raychowdhury:
A time interleaved DAC sharing SAR Pipeline ADC for ultra-low power camera front ends. VLSI-SoC 2015: 231-236 - [i1]Saad Bin Nasir, Arijit Raychowdhury:
A Model Study of an All-Digital, Discrete-Time and Embedded Linear Regulator. CoRR abs/1501.00579 (2015) - 2014
- [j22]Abhinav Parihar, Nikhil Shukla, Suman Datta, Arijit Raychowdhury:
Exploiting Synchronization Properties of Correlated Electron Devices in a Non-Boolean Computing Fabric for Template Matching. IEEE J. Emerg. Sel. Topics Circuits Syst. 4(4): 450-459 (2014) - [j21]Samantak Gangopadhyay, Dinesh Somasekhar, James W. Tschanz, Arijit Raychowdhury:
A 32 nm Embedded, Fully-Digital, Phase-Locked Low Dropout Regulator for Fine Grained Power Management in Digital Circuits. IEEE J. Solid State Circuits 49(11): 2684-2693 (2014) - [c41]Suman Datta, Nikhil Shukla, Matthew Cotter, Abhinav Parihar, Arijit Raychowdhury:
Neuro Inspired Computing with Coupled Relaxation Oscillators. DAC 2014: 74:1-74:6 - [c40]Samantak Gangopadhyay, Youngtak Lee, Saad Bin Nasir, Arijit Raychowdhury:
Modeling and analysis of digital linear dropout regulators with adaptive control for high efficiency under wide dynamic range digital loads. DATE 2014: 1-6 - [c39]Arijit Raychowdhury, Saad Bin Nasir, Samantak Gangopadhyay:
The role of adaptation and resiliency in computation and power management. ICCAD 2014: 74-79 - [c38]Saad Bin Nasir, Youngtak Lee, Arijit Raychowdhury:
Modeling and analysis of system stability in a distributed power delivery network with embedded digital linear regulators. ISQED 2014: 68-75 - [c37]Carlos Tokunaga, Joseph F. Ryan, Charles Augustine, Jaydeep P. Kulkarni, Yi-Chun Shih, Stephen T. Kim, Rinkle Jain, Keith A. Bowman, Arijit Raychowdhury, Muhammad M. Khellah, James W. Tschanz, Vivek De:
5.7 A graphics execution core in 22nm CMOS featuring adaptive clocking, selective boosting and state-retentive sleep. ISSCC 2014: 108-109 - 2013
- [j20]Bipul C. Paul, Arijit Raychowdhury:
Introduction to the special issue on memory technologies. ACM J. Emerg. Technol. Comput. Syst. 9(2): 10:1-10:2 (2013) - [j19]Arijit Raychowdhury, Carlos Tokunaga, Willem Marco Beltman, Michael Deisher, James W. Tschanz, Vivek De:
A 2.3 nJ/Frame Voice Activity Detector-Based Audio Front-End for Context-Aware System-On-Chip Applications in 32-nm CMOS. IEEE J. Solid State Circuits 48(8): 1963-1969 (2013) - [c36]Arijit Raychowdhury:
Spin torque devices in embedded memory: model studies and design space exploration. ICCAD 2013: 572-575 - [c35]Arijit Raychowdhury:
Beyond charge based computation: Design space exploration of spin transfer torque based MRAMs for embedded applications. ISLPED 2013: 135-138 - [c34]Arijit Raychowdhury:
Pulsed READ in spin transfer torque (STT) memory bitcell for lower READ disturb. NANOARCH 2013: 34-35 - 2012
- [c33]Arijit Raychowdhury, Carlos Tokunaga, Willem Marco Beltman, Michael Deisher, James W. Tschanz, Vivek De:
A 2.3nJ/frame Voice Activity Detector based audio front-end for context-aware System-on-Chip applications in 32nm CMOS. CICC 2012: 1-4 - [c32]Michael Nicolaidis, Lorena Anghel, Nacer-Eddine Zergainoh, Yervant Zorian, Tanay Karnik, Keith A. Bowman, James W. Tschanz, Shih-Lien Lu, Carlos Tokunaga, Arijit Raychowdhury, Muhammad M. Khellah, Jaydeep Kulkarni, Vivek De, Dimiter Avresky:
Design for test and reliability in ultimate CMOS. DATE 2012: 677-682 - [c31]Rangharajan Venkatesan, Vivek Joy Kozhikkottu, Charles Augustine, Arijit Raychowdhury, Kaushik Roy, Anand Raghunathan:
TapeCache: a high density, energy efficient cache based on domain wall memory. ISLPED 2012: 185-190 - [c30]Arijit Raychowdhury, Dinesh Somasekhar, James W. Tschanz, Vivek De:
A fully-digital phase-locked low dropout regulator in 32nm CMOS. VLSIC 2012: 148-149 - 2011
- [j18]Arijit Raychowdhury, Jim Tschanz, Keith A. Bowman, Shih-Lien Lu, Paolo A. Aseron, Muhammad M. Khellah, Bibiche M. Geuskens, Carlos Tokunaga, Chris Wilkerson, Tanay Karnik, Vivek De:
Error Detection and Correction in Microprocessor Core and Memory Due to Fast Dynamic Voltage Droops. IEEE J. Emerg. Sel. Topics Circuits Syst. 1(3): 208-217 (2011) - [j17]Keith A. Bowman, James W. Tschanz, Shih-Lien Lu, Paolo A. Aseron, Muhammad M. Khellah, Arijit Raychowdhury, Bibiche M. Geuskens, Carlos Tokunaga, Chris Wilkerson, Tanay Karnik, Vivek K. De:
A 45 nm Resilient Microprocessor Core for Dynamic Variation Tolerance. IEEE J. Solid State Circuits 46(1): 194-208 (2011) - [j16]Arijit Raychowdhury, Bibiche M. Geuskens, Keith A. Bowman, James W. Tschanz, Shih-Lien Lu, Tanay Karnik, Muhammad M. Khellah, Vivek K. De:
Tunable Replica Bits for Dynamic Variation Tolerance in 8T SRAM Arrays. IEEE J. Solid State Circuits 46(4): 797-805 (2011) - [j15]Keith A. Bowman, Carlos Tokunaga, James W. Tschanz, Arijit Raychowdhury, Muhammad M. Khellah, Bibiche M. Geuskens, Shih-Lien Lu, Paolo A. Aseron, Tanay Karnik, Vivek K. De:
All-Digital Circuit-Level Dynamic Variation Monitor for Silicon Debug and Adaptive Clock Control. IEEE Trans. Circuits Syst. I Regul. Pap. 58-I(9): 2017-2025 (2011) - [p1]Bipul C. Paul, Arijit Raychowdhury:
Digital Subthreshold for Ultra-Low Power Operation: Prospects and Challenges. Low-Power Variation-Tolerant Design in Nanometer Silicon 2011: 185-207 - 2010
- [j14]Sumeet Kumar Gupta, Arijit Raychowdhury, Kaushik Roy:
Digital Computation in Subthreshold Region for Ultralow-Power Operation: A Device-Circuit-Architecture Codesign Perspective. Proc. IEEE 98(2): 160-190 (2010) - [c29]James W. Tschanz, Keith A. Bowman, Muhammad M. Khellah, Chris Wilkerson, Bibiche M. Geuskens, Dinesh Somasekhar, Arijit Raychowdhury, Jaydeep Kulkarni, Carlos Tokunaga, Shih-Lien Lu, Tanay Karnik, Vivek De:
Resilient design in scaled CMOS for energy efficiency. ASP-DAC 2010: 625 - [c28]Keith A. Bowman, Carlos Tokunaga, James W. Tschanz, Arijit Raychowdhury, Muhammad M. Khellah, Bibiche M. Geuskens, Shih-Lien Lu, Paolo A. Aseron, Tanay Karnik, Vivek De:
Dynamic variation monitor for measuring the impact of voltage droops on microprocessor clock frequency. CICC 2010: 1-4 - [c27]Keith A. Bowman, James W. Tschanz, Shih-Lien Lu, Paolo A. Aseron, Muhammad M. Khellah, Arijit Raychowdhury, Bibiche M. Geuskens, Carlos Tokunaga, Chris Wilkerson, Tanay Karnik, Vivek De:
Resilient microprocessor design for high performance & energy efficiency. ISLPED 2010: 355-356 - [c26]James W. Tschanz, Keith A. Bowman, Shih-Lien Lu, Paolo A. Aseron, Muhammad M. Khellah, Arijit Raychowdhury, Bibiche M. Geuskens, Carlos Tokunaga, Chris Wilkerson, Tanay Karnik, Vivek De:
A 45nm resilient and adaptive microprocessor core for dynamic variation tolerance. ISSCC 2010: 282-283 - [c25]Arijit Raychowdhury, Bibiche M. Geuskens, Jaydeep Kulkarni, James W. Tschanz, Keith A. Bowman, Tanay Karnik, Shih-Lien Lu, Vivek De, Muhammad M. Khellah:
PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction. ISSCC 2010: 352-353 - [c24]Minki Cho, Nikhil Sathe, Arijit Raychowdhury, Saibal Mukhopadhyay:
Optimization of burn-in test for many-core processors through adaptive spatiotemporal power migration. ITC 2010: 59-68
2000 – 2009
- 2009
- [j13]Hasnain Lakdawala, Y. William Li, Arijit Raychowdhury, Greg Taylor, Krishnamurthy Soumyanath:
A 1.05 V 1.6 mW, 0.45°C 3σ Resolution ΣΔ Based Temperature Sensor With Parasitic Resistance Compensation in 32 nm Digital CMOS Process. IEEE J. Solid State Circuits 44(12): 3621-3630 (2009) - [c23]Charles Augustine, Arijit Raychowdhury, Yunfei Gao, Mark S. Lundstrom, Kaushik Roy:
PETE: A device/circuit analysis framework for evaluation and comparison of charge based emerging devices. ISQED 2009: 80-85 - [c22]Y. William Li, Hasnain Lakdawala, Arijit Raychowdhury, Greg Taylor, Krishnamurthy Soumyanath:
A 1.05V 1.6mW 0.45°C 3σ-resolution ΔΣ-based temperature sensor with parasitic-resistance compensation in 32nm CMOS. ISSCC 2009: 340-341 - 2008
- [j12]Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, Kaushik Roy:
Arbitrary Two-Pattern Delay Testing Using a Low-Overhead Supply Gating Technique. J. Electron. Test. 24(6): 577-590 (2008) - 2007
- [j11]Arijit Raychowdhury, Kaushik Roy:
Carbon Nanotube Electronics: Design of High-Performance and Low-Power Digital Circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 54-I(11): 2391-2401 (2007) - 2006
- [j10]Amit Agarwal, Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy, Chris H. Kim:
Leakage Power Analysis and Reduction for Nanoscale Circuits. IEEE Micro 26(2): 68-80 (2006) - [j9]Arijit Raychowdhury, Kaushik Roy:
Modeling of metallic carbon-nanotube interconnects for circuit simulations and a comparison with Cu interconnects for scaled technologies. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(1): 58-65 (2006) - [j8]Swaroop Ghosh, Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy:
A Novel Delay Fault Testing Methodology Using Low-Overhead Built-In Delay Sensor. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12): 2934-2943 (2006) - [j7]Nilanjan Banerjee, Arijit Raychowdhury, Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi:
Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis. IEEE Trans. Very Large Scale Integr. Syst. 14(9): 1034-1039 (2006) - [c21]Arijit Raychowdhury, Jeong-Il Kim, Dimitrios Peroulis, Kaushik Roy:
Integrated MEMS Switches for Leakage Control of Battery Operated Systems. CICC 2006: 457-460 - [c20]Mark M. Budnik, Arijit Raychowdhury, Aditya Bansal, Kaushik Roy:
A high density, carbon nanotube capacitor for decoupling applications. DAC 2006: 935-938 - [c19]Arijit Raychowdhury, Bipul Chandra Paul, Swarup Bhunia, Kaushik Roy:
Ultralow power computing with sub-threshold leakage: a comparative study of bulk and SOI technologies. DATE 2006: 856-861 - [c18]Swaroop Ghosh, Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy:
Delay Fault Localization in Test-Per-Scan BIST Using Built-In Delay Sensor. IOLTS 2006: 31-36 - [c17]Arijit Raychowdhury, Xuanyao Fong, Qikai Chen, Kaushik Roy:
Analysis of super cut-off transistors for ultralow power digital logic circuits. ISLPED 2006: 2-7 - 2005
- [j6]Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy:
Defect Oriented Testing of Analog Circuits Using Wavelet Analysis of Dynamic Supply Current. J. Electron. Test. 21(2): 147-159 (2005) - [j5]Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy:
Frequency Specification Testing of Analog Filters Using Wavelet Transform of Dynamic Supply Current. J. Electron. Test. 21(3): 243-255 (2005) - [j4]Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy:
Accurate estimation of total leakage in nanometer-scale bulk CMOS circuits based on device geometry and doping profile. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(3): 363-381 (2005) - [j3]Myeong-Eun Hwang, Arijit Raychowdhury, Kairshik Roy:
Energy-Recovery Techniques to Reduce On-Chip Power Density in Molecular Nanotechnologies. IEEE Trans. Circuits Syst. I Regul. Pap. 52-I(8): 1580-1589 (2005) - [j2]Arijit Raychowdhury, Bipul Chandra Paul, Swarup Bhunia, Kaushik Roy:
Computing with subthreshold leakage: device/circuit/architecture co-design for ultralow-power subthreshold operation. IEEE Trans. Very Large Scale Integr. Syst. 13(11): 1213-1224 (2005) - [c16]Saibal Mukhopadhyay, Arijit Raychowdhury, Hamid Mahmoodi-Meimand, Kaushik Roy:
Leakage Current Based Stabilization Scheme for Robust Sense-Amplifier Design for Yield Enhancement in Nano-scale SRAM. Asian Test Symposium 2005: 176-181 - [c15]Swarup Bhunia, Hamid Mahmoodi-Meimand, Arijit Raychowdhury, Kaushik Roy:
A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application. DATE 2005: 1136-1141 - [c14]Arijit Raychowdhury, Swaroop Ghosh, Swarup Bhunia, Debjyoti Ghosh, Kaushik Roy:
A novel delay fault testing methodology using on-chip low-overhead delay measurement hardware at strategic probe points. ETS 2005: 108-113 - [c13]Nilanjan Banerjee, Arijit Raychowdhury, Swarup Bhunia, Hamid Mahmoodi-Meimand, Kaushik Roy:
Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis. ICCD 2005: 206-214 - [c12]Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy:
A Feasibility Study of Subthreshold SRAM Across Technology Generations. ICCD 2005: 417-424 - [c11]Arijit Raychowdhury, Swaroop Ghosh, Kaushik Roy:
A Novel On-Chip Delay Measurement Hardware for Efficient Speed-Binning. IOLTS 2005: 287-292 - 2004
- [j1]Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy:
A circuit-compatible model of ballistic carbon nanotube field-effect transistors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(10): 1411-1420 (2004) - [c10]Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy:
Trim Bit Setting of Analog Filters Using Wavelet-Based Supply Current Analysis. DATE 2004: 704-705 - [c9]Swarup Bhunia, Hamid Mahmoodi-Meimand, Arijit Raychowdhury, Kaushik Roy:
First Level Hold: A Novel Low-Overhead Delay Fault Testing Technique. DFT 2004: 314-315 - [c8]Arijit Raychowdhury, Kaushik Roy:
A circuit model for carbon nanotube interconnects: comparative study with Cu interconnects for scaled technologies. ICCAD 2004: 237-240 - [c7]Myeong-Eun Hwang, Arijit Raychowdhury, Kaushik Roy:
Effectiveness of energy recovery techniques in reducing on-chip power density in molecular nano-technologies. ISCAS (3) 2004: 709-712 - [c6]Bipul Chandra Paul, Arijit Raychowdhury, Kaushik Roy:
Device optimization for ultra-low power digital sub-threshold operation. ISLPED 2004: 96-101 - [c5]Arijit Raychowdhury, Kaushik Roy:
A Novel Multiple-Valued Logic Design Using Ballistic Carbon Nanotube FETs. ISMVL 2004: 14-19 - [c4]Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy:
Frequency Specification Testing of Analog Filters Using Wavelet Transform of Dynamic Supply Current. ISQED 2004: 389-394 - [c3]Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy:
Modeling and Estimation of Leakage in Sub-90nm Devices. VLSI Design 2004: 65- - 2003
- [c2]Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy:
Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current modeling. DAC 2003: 169-174 - [c1]Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy:
Modeling of Ballistic Carbon Nanotube Field Effect Transistors for Efficient Circuit Simulation. ICCAD 2003: 487-490
Coauthor Index
aka: Anvesha Amaravati
aka: Aqeel Anwar
aka: Vivek K. De
aka: Justin Romberg
aka: Samuel D. Spetalnick
aka: Jim Tschanz
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-23 20:36 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint