default search action
Dongrui Fan
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j49]Mo Zou, Mingzhe Zhang, Rujia Wang, Xian-He Sun, Xiaochun Ye, Dong-Rui Fan, Zhimin Tang:
Skyway: Accelerate Graph Applications with a Dual-Path Architecture and Fine-Grained Data Management. J. Comput. Sci. Technol. 39(4): 871-894 (2024) - [j48]Zhihua Fan, Wenming Li, Zhen Wang, Yu Yang, Xiaochun Ye, Dongrui Fan, Ninghui Sun, Xuejun An:
Improving Utilization of Dataflow Unit for Multi-Batch Processing. ACM Trans. Archit. Code Optim. 21(1): 17:1-17:26 (2024) - [j47]Duo Wang, Mingyu Yan, Yihan Teng, Dengke Han, Xin Liu, Wenming Li, Xiaochun Ye, Dongrui Fan:
MoDSE: A High-Accurate Multiobjective Design Space Exploration Framework for CPU Microarchitectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(5): 1525-1537 (2024) - [j46]Runzhen Xue, Dengke Han, Mingyu Yan, Mo Zou, Xiaocheng Yang, Duo Wang, Wenming Li, Zhimin Tang, John Kim, Xiaochun Ye, Dongrui Fan:
HiHGNN: Accelerating HGNNs Through Parallelism and Data Reusability Exploitation. IEEE Trans. Parallel Distributed Syst. 35(7): 1122-1138 (2024) - [c114]Siyan Chen, Rongliang Fu, Junying Huang, Zhimin Zhang, Xiaochun Ye, Tsung-Yi Ho, Dongrui Fan:
JPlace: A Clock-Aware Length-Matching Placement for Rapid Single-Flux-Quantum Circuits. DATE 2024: 1-6 - [c113]Dengke Han, Meng Wu, Runzhen Xue, Mingyu Yan, Xiaochun Ye, Dongrui Fan:
ADE-HGNN: Accelerating HGNNs Through Attention Disparity Exploitation. Euro-Par (2) 2024: 91-106 - [c112]Yuxiang Zhang, Xin Liu, Meng Wu, Wei Yan, Mingyu Yan, Xiaochun Ye, Dongrui Fan:
Disttack: Graph Adversarial Attacks Toward Distributed GNN Training. Euro-Par (2) 2024: 302-316 - [c111]Haoran Dang, Meng Wu, Mingyu Yan, Xiaochun Ye, Dongrui Fan:
GDL-GNN: Applying GPU Dataloading of Large Datasets for Graph Neural Network Inference. Euro-Par (2) 2024: 346-361 - [i28]Xin Liu, Yuxiang Zhang, Meng Wu, Mingyu Yan, Kun He, Wei Yan, Shirui Pan, Xiaochun Ye, Dongrui Fan:
Revisiting Edge Perturbation for Graph Neural Network in Graph Data Augmentation and Attack. CoRR abs/2403.07943 (2024) - [i27]Runzhen Xue, Mingyu Yan, Dengke Han, Yihan Teng, Zhimin Tang, Xiaochun Ye, Dongrui Fan:
GDR-HGNN: A Heterogeneous Graph Neural Networks Accelerator Frontend with Graph Decoupling and Recoupling. CoRR abs/2404.04792 (2024) - [i26]Yuxiang Zhang, Xin Liu, Meng Wu, Wei Yan, Mingyu Yan, Xiaochun Ye, Dongrui Fan:
Disttack: Graph Adversarial Attacks Toward Distributed GNN Training. CoRR abs/2405.06247 (2024) - [i25]Dengke Han, Meng Wu, Runzhen Xue, Mingyu Yan, Xiaochun Ye, Dongrui Fan:
ADE-HGNN: Accelerating HGNNs through Attention Disparity Exploitation. CoRR abs/2406.00988 (2024) - [i24]Dengke Han, Mingyu Yan, Xiaochun Ye, Dongrui Fan, Ninghui Sun:
Characterizing and Understanding HGNN Training on GPUs. CoRR abs/2407.11790 (2024) - [i23]Meng Wu, Mingyu Yan, Wenming Li, Xiaochun Ye, Dongrui Fan, Yuan Xie:
A Comprehensive Survey on GNN Characterization. CoRR abs/2408.01902 (2024) - [i22]Meng Wu, Jingkai Qiu, Mingyu Yan, Wenming Li, Yang Zhang, Zhimin Zhang, Xiaochun Ye, Dongrui Fan:
Accelerating Mini-batch HGNN Training by Reducing CUDA Kernels. CoRR abs/2408.08490 (2024) - [i21]Runzhen Xue, Mingyu Yan, Dengke Han, Zhimin Tang, Xiaochun Ye, Dongrui Fan:
SiHGNN: Leveraging Properties of Semantic Graphs for Efficient HGNN Acceleration. CoRR abs/2408.15089 (2024) - 2023
- [j45]Honggang Chen, Xing Cheng, Nan Guo, Xiaochun Ye, Xiao Xiao, Dongrui Fan:
Domain adaptive person re-identification with memory-based circular ranking. Appl. Intell. 53(6): 7007-7021 (2023) - [j44]Meng Wu, Mingyu Yan, Xiaocheng Yang, Wenming Li, Zhimin Zhang, Xiaochun Ye, Dongrui Fan:
Characterizing and Understanding Defense Methods for GNNs on GPUs. IEEE Comput. Archit. Lett. 22(2): 137-140 (2023) - [j43]Haiyang Lin, Mingyu Yan, Xiaochun Ye, Dongrui Fan, Shirui Pan, Wenguang Chen, Yuan Xie:
A Comprehensive Survey on Distributed Training of Graph Neural Networks. Proc. IEEE 111(12): 1572-1606 (2023) - [j42]Zhihua Fan, Wenming Li, Zhen Wang, Tianyu Liu, Haibin Wu, Yanhuan Liu, Meng Wu, Xinxin Wu, Xiaochun Ye, Dongrui Fan, Ninghui Sun, Xuejun An:
Accelerating Convolutional Neural Networks by Exploiting the Sparsity of Output Activation. IEEE Trans. Parallel Distributed Syst. 34(12): 3253-3265 (2023) - [c110]Xiaocheng Yang, Mingyu Yan, Shirui Pan, Xiaochun Ye, Dongrui Fan:
Simple and Efficient Heterogeneous Graph Neural Network. AAAI 2023: 10816-10824 - [c109]Duo Wang, Mingyu Yan, Xin Liu, Mo Zou, Tianyu Liu, Wenming Li, Xiaochun Ye, Dongrui Fan:
A High-accurate Multi-objective Exploration Framework for Design Space of CPU. DAC 2023: 1-6 - [c108]Zhihua Fan, Wenming Li, Shengzhong Tang, Xuejun An, Xiaochun Ye, Dongrui Fan:
Improving Utilization of Dataflow Architectures Through Software and Hardware Co-Design. Euro-Par 2023: 245-259 - [c107]Duo Wang, Mingyu Yan, Yihan Teng, Dengke Han, Xiaochun Ye, Dongrui Fan:
A High-accurate Multi-objective Ensemble Exploration Framework for Design Space of CPU Microarchitecture. ACM Great Lakes Symposium on VLSI 2023: 379-383 - [c106]Xinda Chen, Rongliang Fu, Junying Huang, Huawei Cao, Zhimin Zhang, Xiaochun Ye, Tsung-Yi Ho, Dongrui Fan:
JRouter: A Multi-Terminal Hierarchical Length-Matching Router under Planar Manhattan Routing Model for RSFQ Circuits. ACM Great Lakes Symposium on VLSI 2023: 515-520 - [c105]Shantian Qin, Wenming Li, Zhihua Fan, Zhen Wang, Tianyu Liu, Haibin Wu, Kunming Zhang, Xuejun An, Xiaochun Ye, Dongrui Fan:
ROMA: A Reconfigurable On-chip Memory Architecture for Multi-core Accelerators. HPCC/DSS/SmartCity/DependSys 2023: 49-57 - [c104]Duo Wang, Mingyu Yan, Yihan Teng, Dengke Han, Haoran Dang, Xiaochun Ye, Dongrui Fan:
A Transfer Learning Framework for High-Accurate Cross-Workload Design Space Exploration of CPU. ICCAD 2023: 1-9 - [c103]Haibin Wu, Wenming Li, Zhihua Fan, Zhen Wang, Tianyu Liu, Junying Huang, Shengzhong Tang, Yanhuan Liu, Kunming Zhang, Xiaochun Ye, Dongrui Fan:
Alleviating Transfer Latency in DataFlow Accelerator for DSP Applications. ICCD 2023: 440-443 - [i20]Runzhen Xue, Dengke Han, Mingyu Yan, Mo Zou, Xiaocheng Yang, Duo Wang, Wenming Li, Zhimin Tang, John Kim, Xiaochun Ye, Dongrui Fan:
HiHGNN: Accelerating HGNNs through Parallelism and Data Reusability Exploitation. CoRR abs/2307.12765 (2023) - [i19]Zhengyang Lv, Mingyu Yan, Xin Liu, Mengyao Dong, Xiaochun Ye, Dongrui Fan, Ninghui Sun:
A Survey of Graph Pre-processing Methods: From Algorithmic to Hardware Perspectives. CoRR abs/2309.07581 (2023) - 2022
- [j41]Mo Zou, Mingzhe Zhang, Rujia Wang, Xian-He Sun, Xiaochun Ye, Dongrui Fan, Zhimin Tang:
Accelerating Graph Processing With Lightweight Learning-Based Data Reordering. IEEE Comput. Archit. Lett. 21(1): 5-8 (2022) - [j40]Haiyang Lin, Mingyu Yan, Xiaocheng Yang, Mo Zou, Wenming Li, Xiaochun Ye, Dongrui Fan:
Characterizing and Understanding Distributed GNN Training on GPUs. IEEE Comput. Archit. Lett. 21(1): 21-24 (2022) - [j39]Mingyu Yan, Mo Zou, Xiaocheng Yang, Wenming Li, Xiaochun Ye, Dongrui Fan, Yuan Xie:
Characterizing and Understanding HGNNs on GPUs. IEEE Comput. Archit. Lett. 21(2): 69-72 (2022) - [j38]Yinshen Wang, Wenming Li, Tianyu Liu, Liangjiang Zhou, Bingnan Wang, Zhihua Fan, Xiaochun Ye, Dongrui Fan, Chibiao Ding:
Characterization and Implementation of Radar System Applications on a Reconfigurable Dataflow Architecture. IEEE Comput. Archit. Lett. 21(2): 121-124 (2022) - [j37]Junying Huang, Rongliang Fu, Xiaochun Ye, Dongrui Fan:
A survey on superconducting computing technology: circuits, architectures and design tools. CCF Trans. High Perform. Comput. 4(1): 1-22 (2022) - [j36]Yuqiong Qi, Yang Hu, Haibin Wu, Shen Li, Xiaochun Ye, Dongrui Fan:
A synergistic reinforcement learning-based framework design in driving automation. Comput. Electr. Eng. 101: 107989 (2022) - [j35]Xin Liu, Mingyu Yan, Lei Deng, Guoqi Li, Xiaochun Ye, Dongrui Fan:
Sampling Methods for Efficient Training of Graph Convolutional Networks: A Survey. IEEE CAA J. Autom. Sinica 9(2): 205-234 (2022) - [j34]Yujing Feng, De-Jian Li, Xu Tan, Xiaochun Ye, Dongrui Fan, Wenming Li, Da Wang, Hao Zhang, Zhimin Tang:
Accelerating Data Transfer in Dataflow Architectures Through a Look-Ahead Acknowledgment Mechanism. J. Comput. Sci. Technol. 37(4): 942-959 (2022) - [j33]Xin Liu, Mingyu Yan, Lei Deng, Yujie Wu, De Han, Guoqi Li, Xiaochun Ye, Dongrui Fan:
General spiking neural network framework for the learning trajectory from a noisy mmWave radar. Neuromorph. Comput. Eng. 2(2): 34013 (2022) - [j32]Gongjian Sun, Mingyu Yan, Duo Wang, Han Li, Wenming Li, Xiaochun Ye, Dongrui Fan, Yuan Xie:
Multi-Node Acceleration for Large-Scale GCNs. IEEE Trans. Computers 71(12): 3140-3152 (2022) - [j31]Rongliang Fu, Junying Huang, Haibin Wu, Xiaochun Ye, Dongrui Fan, Tsung-Yi Ho:
JBNN: A Hardware Design for Binarized Neural Networks Using Single-Flux-Quantum Circuits. IEEE Trans. Computers 71(12): 3203-3214 (2022) - [c102]Haiyang Lin, Mingyu Yan, Duo Wang, Mo Zou, Fengbin Tu, Xiaochun Ye, Dongrui Fan, Yuan Xie:
Alleviating datapath conflicts and design centralization in graph analytics acceleration. DAC 2022: 901-906 - [c101]Xinxin Wu, Zhihua Fan, Tianyu Liu, Wenming Li, Xiaochun Ye, Dongrui Fan:
LRP: Predictive output activation based on SVD approach for CNN s acceleration. DATE 2022: 831-836 - [c100]Long Tan, Mingyu Yan, Xiaochun Ye, Dongrui Fan:
HetGraph: A High Performance CPU-CGRA Architecture for Matrix-based Graph Analytics. ACM Great Lakes Symposium on VLSI 2022: 387-391 - [c99]Zhihua Fan, Wenming Li, Tianyu Liu, Shengzhong Tang, Zhen Wang, Xuejun An, Xiaochun Ye, Dongrui Fan:
A Loop Optimization Method for Dataflow Architecture. HPCC/DSS/SmartCity/DependSys 2022: 202-211 - [c98]Mo Zou, Mingyu Yan, Wenming Li, Zhimin Tang, Xiaochun Ye, Dongrui Fan:
GEM: Execution-Aware Cache Management for Graph Analytics. ICA3PP 2022: 273-292 - [c97]Long Tan, Mingyu Yan, Duo Wang, Wenming Li, Xiaochun Ye, Dongrui Fan:
MatGraph: An Energy-Efficient and Flexible CGRA Engine for Matrix-Based Graph Analytics. ICA3PP 2022: 351-372 - [c96]Nan Guo, Min Yang, Xiaoping Chen, Xiao Xiao, Chenhao Wang, Xiaochun Ye, Dongrui Fan:
Heterogeneous Collaborative Refining for Real-Time End-to-End Image-Text Retrieval System. ICIAI 2022: 57-63 - [c95]Xin Liu, Mingyu Yan, Lei Deng, Guoqi Li, Xiaochun Ye, Dongrui Fan, Shirui Pan, Yuan Xie:
Survey on Graph Neural Network Acceleration: An Algorithmic Perspective. IJCAI 2022: 5521-5529 - [c94]Zhihua Fan, Wenming Li, Tianyu Liu, Xuejun An, Xiaochun Ye, Dongrui Fan:
A Routing-Aware Mapping Method for Dataflow Architectures. NPC 2022: 3-16 - [c93]Xin Liu, Mingyu Yan, Shuhan Song, Zhengyang Lv, Wenming Li, Guangyu Sun, Xiaochun Ye, Dongrui Fan:
GNNSampler: Bridging the Gap Between Sampling Algorithms of GNN and Hardware. ECML/PKDD (5) 2022: 498-514 - [i18]Xin Liu, Mingyu Yan, Lei Deng, Guoqi Li, Xiaochun Ye, Dongrui Fan, Shirui Pan, Yuan Xie:
Survey on Graph Neural Network Acceleration: An Algorithmic Perspective. CoRR abs/2202.04822 (2022) - [i17]Haiyang Lin, Mingyu Yan, Duo Wang, Mo Zou, Fengbin Tu, Xiaochun Ye, Dongrui Fan, Yuan Xie:
Alleviating Datapath Conflicts and Design Centralization in Graph Analytics Acceleration. CoRR abs/2202.11343 (2022) - [i16]Haiyang Lin, Mingyu Yan, Xiaocheng Yang, Mo Zou, Wenming Li, Xiaochun Ye, Dongrui Fan:
Characterizing and Understanding Distributed GNN Training on GPUs. CoRR abs/2204.08150 (2022) - [i15]Xiaocheng Yang, Mingyu Yan, Shirui Pan, Xiaochun Ye, Dongrui Fan:
Simple and Efficient Heterogeneous Graph Neural Network. CoRR abs/2207.02547 (2022) - [i14]Gongjian Sun, Mingyu Yan, Duo Wang, Han Li, Wenming Li, Xiaochun Ye, Dongrui Fan, Yuan Xie:
Multi-node Acceleration for Large-scale GCNs. CoRR abs/2207.07258 (2022) - [i13]Mingyu Yan, Mo Zou, Xiaocheng Yang, Wenming Li, Xiaochun Ye, Dongrui Fan, Yuan Xie:
Characterizing and Understanding HGNNs on GPUs. CoRR abs/2208.04758 (2022) - [i12]Xin Liu, Xunbin Xiong, Mingyu Yan, Runzhen Xue, Shirui Pan, Xiaochun Ye, Dongrui Fan:
Rethinking Efficiency and Redundancy in Training Large-scale Graphs. CoRR abs/2209.00800 (2022) - [i11]Haiyang Lin, Mingyu Yan, Xiaochun Ye, Dongrui Fan, Shirui Pan, Wenguang Chen, Yuan Xie:
A Comprehensive Survey on Distributed Training of Graph Neural Networks. CoRR abs/2211.05368 (2022) - 2021
- [j30]Han Li, Mingyu Yan, Xiaocheng Yang, Lei Deng, Wenming Li, Xiaochun Ye, Dongrui Fan, Yuan Xie:
Hardware Acceleration for GCNs via Bidirectional Fusion. IEEE Comput. Archit. Lett. 20(1): 66-69 (2021) - [j29]Dongrui Fan, Huawei Cao, Guobo Wang, Na Nie, Xiaochun Ye, Ninghui Sun:
Scalable and efficient graph traversal on high-throughput cluster. CCF Trans. High Perform. Comput. 3(1): 101-113 (2021) - [j28]Wei Xuan, Huawei Cao, Mingyu Yan, Zhimin Tang, Xiaochun Ye, Dongrui Fan:
BSR-TC: Adaptively Sampling for Accurate Triangle Counting over Evolving Graph Streams. Int. J. Softw. Eng. Knowl. Eng. 31(11&12): 1561-1581 (2021) - [j27]Yi Li, Meng Wu, Xiaochun Ye, Wenming Li, Rui Xue, Da Wang, Hao Zhang, Dongrui Fan:
An efficient scheduling algorithm for dataflow architecture using loop-pipelining. Inf. Sci. 547: 1136-1153 (2021) - [c92]Dingyuan Cao, Mingzhe Zhang, Hang Lu, Xiaochun Ye, Dongrui Fan, Yuezhi Che, Rujia Wang:
Streamline Ring ORAM Accesses through Spatial and Temporal Optimization. HPCA 2021: 14-25 - [c91]Haiyang Lin, Mingyu Yan, Duo Wang, Wenming Li, Xiaochun Ye, Zhimin Tang, Dongrui Fan:
Alleviating Imbalance in Synchronous Distributed Training of Deep Neural Networks. ISPA/BDCloud/SocialCom/SustainCom 2021: 405-412 - [c90]Wei Xuan, Huawei Cao, Mingyu Yan, Zhimin Tang, Xiaochun Ye, Dongrui Fan:
Triangle Counting by Adaptively Resampling over Evolving Graph Streams. SEKE 2021: 387-392 - [i10]Xin Liu, Mingyu Yan, Lei Deng, Guoqi Li, Xiaochun Ye, Dongrui Fan:
Sampling methods for efficient training of graph convolutional networks: A survey. CoRR abs/2103.05872 (2021) - [i9]Taoran Xiang, Lunkai Zhang, Shuqian An, Xiaochun Ye, Mingzhe Zhang, Yanhuan Liu, Mingyu Yan, Da Wang, Hao Zhang, Wenming Li, Ninghui Sun, Dongrui Fan:
RISC-NN: Use RISC, NOT CISC as Neural Network Hardware Infrastructure. CoRR abs/2103.12393 (2021) - [i8]Yuqiong Qi, Yang Hu, Haibin Wu, Shen Li, Haiyu Mao, Xiaochun Ye, Dongrui Fan, Ninghui Sun:
Tackling Variabilities in Autonomous Driving. CoRR abs/2104.10415 (2021) - [i7]Xin Liu, Mingyu Yan, Shuhan Song, Zhengyang Lv, Wenming Li, Guangyu Sun, Xiaochun Ye, Dongrui Fan:
GNNSampler: Bridging the Gap between Sampling Algorithms of GNN and Hardware. CoRR abs/2108.11571 (2021) - 2020
- [j26]Mingyu Yan, Zhaodong Chen, Lei Deng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie:
Characterizing and Understanding GCNs on GPU. IEEE Comput. Archit. Lett. 19(1): 22-25 (2020) - [j25]Xiaochun Ye, Xu Tan, Meng Wu, Yujing Feng, Da Wang, Hao Zhang, Songwen Pei, Dongrui Fan:
An efficient dataflow accelerator for scientific applications. Future Gener. Comput. Syst. 112: 580-588 (2020) - [c89]Rongliang Fu, Zhimin Zhang, Guang-Ming Tang, Junying Huang, Xiaochun Ye, Dongrui Fan, Ninghui Sun:
Design Automation Methodology from RTL to Gate-level Netlist and Schematic for RSFQ Logic Circuits. ACM Great Lakes Symposium on VLSI 2020: 145-150 - [c88]Mingyu Yan, Lei Deng, Xing Hu, Ling Liang, Yujing Feng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie:
HyGCN: A GCN Accelerator with Hybrid Architecture. HPCA 2020: 15-29 - [c87]Xinxin Wu, Yi Li, Yan Ou, Wenming Li, Shibo Sun, Wenxing Xu, Dongrui Fan:
Accelerating Sparse Convolutional Neural Networks Based on Dataflow Architecture. ICA3PP (2) 2020: 14-31 - [c86]Yan Ou, Chongfei Shen, Yujing Feng, Xinxin Wu, Wenming Li, Xiaochun Ye, Dongrui Fan:
CTA: A Critical Task Aware Scheduling Mechanism for Dataflow Architecture. ICA3PP (1) 2020: 61-77 - [c85]Qian Li, Nan Guo, Xiaochun Ye, Dongrui Fan, Zhimin Tang:
Pixel-Semantic Revising of Position: One-Stage Object Detector with Shared Encoder-Decoder. ICONIP (4) 2020: 516-525 - [c84]Rongyu Dong, Huawei Cao, Xiaochun Ye, Yuan Zhang, Qinfen Hao, Dongrui Fan:
Highly Efficient and GPU-Friendly Implementation of BFS on Single-node System. ISPA/BDCloud/SocialCom/SustainCom 2020: 544-553 - [c83]Yi Li, Meng Wu, Wenming Li, Rui Xue, Dongrui Fan, Dan Li, Yuqing Ji, Xiaochun Ye:
An Efficient Multicast Router using Shared-Buffer with Packet Merging for Dataflow Architecture. NOCS 2020: 1-8 - [i6]Qian Li, Nan Guo, Xiaochun Ye, Dongrui Fan, Zhimin Tang, Honggang Chen, Wenming Li:
Pixel-Semantic Revise of Position Learning A One-Stage Object Detector with A Shared Encoder-Decoder. CoRR abs/2001.01057 (2020) - [i5]Mingyu Yan, Lei Deng, Xing Hu, Ling Liang, Yujing Feng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie:
HyGCN: A GCN Accelerator with Hybrid Architecture. CoRR abs/2001.02514 (2020) - [i4]Mingyu Yan, Zhaodong Chen, Lei Deng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie:
Characterizing and Understanding GCNs on GPU. CoRR abs/2001.10160 (2020) - [i3]Qian Li, Nan Guo, Duo Wang, Xiaochun Ye, Dongrui Fan, Zhimin Tang:
Top-Related Meta-Learning Method for Few-Shot Detection. CoRR abs/2007.06837 (2020) - [i2]Qian Li, Nan Guo, Xiaochun Ye, Dongrui Fan, Zhimin Tang:
Video Face Recognition System: RetinaFace-mnet-faster and Secondary Search. CoRR abs/2009.13167 (2020)
2010 – 2019
- 2019
- [j24]Xiaochun Ye, Taoran Xiang, Xu Tan, Yujing Feng, Haibin Wu, Meng Wu, Dongrui Fan:
Applying CNN on a scientific application accelerator based on dataflow architecture. CCF Trans. High Perform. Comput. 1(3-4): 177-195 (2019) - [j23]Wenming Li, Xiaochun Ye, Da Wang, Hao Zhang, Zhimin Tang, Dongrui Fan, Ninghui Sun:
PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing. Sustain. Comput. Informatics Syst. 21: 129-142 (2019) - [c82]Boxiao Liu, Yan Gao, Nan Guo, Xiaochun Ye, Fang Wan, Haihang You, Dongrui Fan:
Utilizing the Instability in Weakly Supervised Object Detection. CVPR Workshops 2019 - [c81]Farzaneh Zokaee, Mingzhe Zhang, Xiaochun Ye, Dongrui Fan, Lei Jiang:
Magma: A Monolithic 3D Vertical Heterogeneous ReRAM-based Main Memory Architecture. DAC 2019: 115 - [c80]Yi Li, Xu Tan, Rui Xue, Xiaochun Ye, Wenming Li, Da Wang, Hao Zhang, Dongrui Fan:
A Sharing Path Awareness Scheduling Algorithm for Dataflow Architecture. HPCC/SmartCity/DSS 2019: 9-18 - [c79]Shuqian An, Mingzhe Zhang, Xiaochun Ye, Da Wang, Hao Zhang, Dongrui Fan, Zhimin Tang:
C-MAP: Improving the Effectiveness of Mapping Method for CGRA by Reducing NoC Congestion. HPCC/SmartCity/DSS 2019: 321-328 - [c78]Chenglong Zhang, Huawei Cao, Xiaochun Ye, Guobo Wang, Qinfen Hao, Dongrui Fan:
Highly Efficient Breadth-First Search on CPU-Based Single-Node System. HPCC/SmartCity/DSS 2019: 2066-2071 - [c77]Gao Yan, Boxiao Liu, Nan Guo, Xiaochun Ye, Fang Wan, Haihang You, Dongrui Fan:
C-MIDN: Coupled Multiple Instance Detection Network With Segmentation Guidance for Weakly Supervised Object Detection. ICCV 2019: 9833-9842 - [c76]Kuozhong Zhang, Junying Huang, Jing Ye, Xiaochun Ye, Da Wang, Dongrui Fan, Huawei Li, Xiaowei Li, Zhimin Zhang:
iATPG: Instruction-level Automatic Test Program Generation for Vulnerabilities under DVFS attack. IOLTS 2019: 287-292 - [c75]Mingyu Yan, Xing Hu, Shuangchen Li, Itir Akgun, Han Li, Xin Ma, Lei Deng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie:
Balancing Memory Accesses for Energy-Efficient Graph Analytics Accelerators. ISLPED 2019: 1-6 - [c74]Junying Huang, Jing Ye, Xiaochun Ye, Da Wang, Dongrui Fan, Huawei Li, Xiaowei Li, Zhimin Zhang:
Instruction Vulnerability Test and Code Optimization Against DVFS Attack. ITC-Asia 2019: 49-54 - [c73]Mingyu Yan, Xing Hu, Shuangchen Li, Abanti Basak, Han Li, Xin Ma, Itir Akgun, Yujing Feng, Peng Gu, Lei Deng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie:
Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach. MICRO 2019: 615-628 - [i1]Yan Gao, Boxiao Liu, Nan Guo, Xiaochun Ye, Fang Wan, Haihang You, Dongrui Fan:
Utilizing the Instability in Weakly Supervised Object Detection. CoRR abs/1906.06023 (2019) - 2018
- [j22]Xu Tan, Xiaochun Ye, Xiaowei Shen, Yuanchao Xu, Da Wang, Lunkai Zhang, Wenming Li, Dongrui Fan, Zhimin Tang:
A Pipelining Loop Optimization Method for Dataflow Architecture. J. Comput. Sci. Technol. 33(1): 116-130 (2018) - [j21]Xu Tan, Xiaowei Shen, Xiaochun Ye, Da Wang, Dongrui Fan, Lunkai Zhang, Wenming Li, Zhimin Zhang, Zhimin Tang:
A Non-Stop Double Buffering Mechanism for Dataflow Architecture. J. Comput. Sci. Technol. 33(1): 145-157 (2018) - [j20]Ninghui Sun, Yungang Bao, Dongrui Fan:
The rise of high-throughput computing. Frontiers Inf. Technol. Electron. Eng. 19(10): 1245-1250 (2018) - [j19]Xiaolong Xie, Yun Liang, Xiuhong Li, Yudong Wu, Guangyu Sun, Tao Wang, Dongrui Fan:
CRAT: Enabling Coordinated Register Allocation and Thread-Level Parallelism Optimization for GPUs. IEEE Trans. Computers 67(6): 890-897 (2018) - [c72]Yujing Feng, Han Li, Xu Tan, Xiaochun Ye, Dongrui Fan, Zhimin Tang:
Optimizing network efficiency of dataflow architectures through dynamic packet merging. IGSC 2018: 1-8 - [c71]Dongrui Fan, Wenming Li, Xiaochun Ye, Da Wang, Hao Zhang, Zhimin Tang, Ninghui Sun:
SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters. HPCA 2018: 596-607 - [c70]Yujing Feng, Taoran Xiang, Xiaochun Ye, Dongrui Fan, Da Wang, Dongdong Wu, Zhimin Tang:
Optimizing the Efficiency of Data Transfer in Dataflow Architectures. HPCC/SmartCity/DSS 2018: 140-149 - [c69]Taoran Xiang, Yujing Feng, Xiaochun Ye, Xu Tan, Wenming Li, Yatao Zhu, Meng Wu, Hao Zhang, Dongrui Fan:
Accelerating CNN Algorithm with Fine-Grained Dataflow Architectures. HPCC/SmartCity/DSS 2018: 243-251 - [c68]Wenming Li, Xiaochun Ye, Da Wang, Hao Zhang, Dongdong Wu, Zhimin Zhang, Dongrui Fan:
WEAVER: An Energy Efficient, General-Purpose Acceleration Architecture for String Operations in Big Data Applications. ISPA/IUCC/BDCloud/SocialCom/SustainCom 2018: 47-54 - [c67]Shigan Yu, Zhimin Tang, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Zhiying:
High-Performance and Energy-Efficient Fault Tolerance Scheduling Algorithm Based on Improved TMR for Heterogeneous System. ISPA/IUCC/BDCloud/SocialCom/SustainCom 2018: 207-214 - 2017
- [j18]Xiaowei Shen, Xiaochun Ye, Xu Tan, Da Wang, Lunkai Zhang, Wenming Li, Zhimin Zhang, Dongrui Fan, Ninghui Sun:
An Efficient Network-on-Chip Router for Dataflow Architecture. J. Comput. Sci. Technol. 32(1): 11-25 (2017) - [c66]Yi Chu, Chuan Luo, Wenxuan Huang, Haihang You, Dongrui Fan:
Hard Neighboring Variables Based Configuration Checking in Stochastic Local Search for Weighted Partial Maximum Satisfiability. ICTAI 2017: 139-146 - [c65]Sheng Shi, Runkai Yang, Xinfeng Zhang, Haihang You, Dongrui Fan:
An Adaptive Tuning Sparse Fast Fourier Transform. PCM (2) 2017: 991-999 - 2016
- [j17]Hafiz Fahad Sheikh, Ishfaq Ahmad, Dongrui Fan:
An Evolutionary Technique for Performance-Energy-Temperature Optimized Scheduling of Parallel Tasks on Multi-Core Processors. IEEE Trans. Parallel Distributed Syst. 27(3): 668-681 (2016) - [c64]Xiaowei Shen, Xiaochun Ye, Xu Tan, Da Wang, Zhimin Zhang, Dongrui Fan, Zhimin Tang:
POSTER: An Optimization of Dataflow Architectures for Scientific Applications. PACT 2016: 441-442 - [c63]Dongrui Fan, Hong Zhong, Ali R. Hurson, Weisong Shi:
Message from the chairs. IGSC 2016: 1 - [c62]Jiuchuan Hu, Dongrui Fan, Danping Li, Long Yan, Xiaochun Ye:
A Percolation Data Migration Schema in a hybrid Cache Hierarchy. IGSC 2016: 1-5 - [c61]Jiuchuan Hu, Dongrui Fan, Danping Li, Long Yan, Xiaochun Ye:
On the properties of data migration based on topology pattern keeping on cache hierarchy. IGSC 2016: 1-4 - [c60]Xiaowei Shen, Xiaochun Ye, Xu Tan, Da Wang, Zhimin Zhang, Zhimin Tang, Dongrui Fan:
Memory partition for SIMD in streaming dataflow architectures. IGSC 2016: 1-8 - [c59]Yatao Zhu, Xiaochun Ye, Da Wang, Wenming Li, Yang Zhang, Dongrui Fan, Zhimin Zhang, Zhimin Tang:
A framework for energy-efficient optimization on multi-cores. IGSC 2016: 1-8 - [c58]Yatao Zhu, Shuai Zhang, Xiaochun Ye, Da Wang, Xu Tan, Dongrui Fan, Zhimin Zhang, Hongliang Li:
An energy-efficient bandwidth allocation method for single-chip heterogeneous processor. IGSC 2016: 1-7 - [c57]Yuqiong Qi, Lina Ma, Wenming Li, Xiaochun Ye, Da Wang, Dongrui Fan, Ninghui Sun:
ACCC: An Acceleration Mechanism for Character Operation Based on Cache Computing in Big Data Applications. HPCC/SmartCity/DSS 2016: 608-615 - 2015
- [j16]Weizhi Xu, Hui Yu, Dianjie Lu, Fenglong Song, Da Wang, Xiaochun Ye, Songwei Pei, Dongrui Fan, Hongtao Xie:
Corrigendum to "Fast and scalable lock methods for video coding on many-core architecture" [J. Visual Communication and Image Representation 25 (7) (2014) 1758-1762]. J. Vis. Commun. Image Represent. 26: 350 (2015) - [j15]Weizhi Xu, Hui Yu, Dianjie Lu, Fenglong Song, Da Wang, Xiaochun Ye, Songwei Pei, Dongrui Fan, Hongtao Xie:
Corrigendum to "Fast and scalable lock methods for video coding on many-core architecture" [J. Visual Communication and Image Representation 25(7) (2014) 1758-1762]. J. Vis. Commun. Image Represent. 27: 57 (2015) - [j14]Sandeep K. S. Gupta, Dongrui Fan:
Introduction to special issue on Selected Papers from 2013 International Green Computing Conference. Sustain. Comput. Informatics Syst. 6: 1-2 (2015) - [c56]Yongjian Li, Jun Pang, Yi Lv, Dongrui Fan, Shen Cao, Kaiqiang Duan:
ParaVerifier: An Automatic Framework for Proving Parameterized Cache Coherence Protocols. ATVA 2015: 207-213 - [c55]Wenming Li, Lingjun Fan, Zihou Wang, Xiaochun Ye, Da Wang, Hao Zhang, Liang Zhang, Dongrui Fan, Xianghui Xie:
Thread ID based power reduction mechanism for multi-thread shared set-associative caches. IGSC 2015: 1-4 - [c54]Wenming Li, Liang Zhang, Xiaochun Ye, Da Wang, Hao Zhang, Zihou Wang, Dongrui Fan:
A high-density data path implementation fitting for HTC applications. IGSC 2015: 1-6 - [c53]Ke Gao, Dongrui Fan, Jie Wu, Zhiyong Liu:
Decoupling Contention with Victim Row-Buffer on Multicore Memory Systems. IPDPS Workshops 2015: 454-463 - [c52]Xiaolong Xie, Yun Liang, Xiuhong Li, Yudong Wu, Guangyu Sun, Tao Wang, Dongrui Fan:
Enabling coordinated register allocation and thread-level parallelism optimization for GPUs. MICRO 2015: 395-406 - 2014
- [j13]Weizhi Xu, Hui Yu, Dianjie Lu, Fenglong Song, Da Wang, Xiaochun Ye, Songwei Pei, Dongrui Fan, Hongtao Xie:
Fast and scalable lock methods for video coding on many-core architecture. J. Vis. Commun. Image Represent. 25(7): 1758-1762 (2014) - [j12]Fenglong Song, Shibin Tang, Wenming Li, Futao Miao, Hao Zhang, Dongrui Fan, Zhiyong Liu:
CRANarch: A feasible processor micro-architecture for Cloud Radio Access Network. Microprocess. Microsystems 38(8): 1025-1036 (2014) - [j11]Na Zhang, Huaxi Gu, Yintang Yang, Dongrui Fan:
QBNoC: QoS-aware bufferless NoC architecture. Microelectron. J. 45(6): 751-758 (2014) - [c51]Lunkai Zhang, Dmitri B. Strukov, Hebatallah Saadeldeen, Dongrui Fan, Mingzhe Zhang, Diana Franklin:
SpongeDirectory: flexible sparse directories utilizing multi-level memristors. PACT 2014: 61-74 - [c50]Yi Lv, Luming Sun, Xiaochun Ye, Dongrui Fan, Peng Wu:
Efficiently and Completely Verifying Synchronized Consistency Models. ATVA 2014: 264-280 - [c49]Yasong Zheng, Yuanchao Xu, Haibo Meng, Xiaochun Ye, Lingjun Fan, Futao Miao, Dongrui Fan:
Optimizing mapreduce with low memory requirements for shared-memory systems. SNPD 2014: 1-6 - 2013
- [j10]Hui Ding, Huaxi Gu, Yintang Yang, Dongrui Fan:
3D Networks-on-Chip mapping targeting minimum signal TSVs. IEICE Electron. Express 10(18): 20130518 (2013) - [j9]Haitao Wei, Mingkang Qin, Weiwei Zhang, Junqing Yu, Dongrui Fan, Guang R. Gao:
StreamTMC: Stream compilation for tiled multi-core architectures. J. Parallel Distributed Comput. 73(4): 484-494 (2013) - [j8]Liu Peng, Guangming Tan, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta, Dongrui Fan, Hao Zhang, Fenglong Song:
Scalability study of molecular dynamics simulation on Godson-T many-core architecture. J. Parallel Distributed Comput. 73(11): 1469-1482 (2013) - [c48]Xiaochun Ye, Dongrui Fan, Da Wang, Fenglong Song, Hao Zhang, Zhimin Tang:
An Efficient Parallel Mechanism for Highly-Debuggable Multicore Simulator. APPT 2013: 241-253 - [c47]Fenglong Song, Yasong Zheng, Futao Miao, Xiaochun Ye, Hao Zhang, Dongrui Fan, Zhiyong Liu:
Low Execution Efficiency: When General Multi-core Processor Meets Wireless Communication Protocol. HPCC/EUC 2013: 906-913 - [c46]Xiaochun Ye, Dongrui Fan, Ninghui Sun, Shibin Tang, Mingzhe Zhang, Hao Zhang:
SimICT: A fast and flexible framework for performance and power evaluation of large-scale architecture. ISLPED 2013: 273-278 - [c45]Shibin Tang, Fenglong Song, Lingjun Fan, Yuanchao Xu, Dongrui Fan, Zhiyong Liu:
HRUL: A Hardware Assisted Recorder for User-Level Application. PDCAT 2013: 134-140 - [c44]Lingjun Fan, Shinan Wang, Yasong Zheng, Weisong Shi, Dongrui Fan:
Low power cache architectures with hybrid approach of filtering unnecessary way accesses. PMAM 2013: 93-99 - [c43]Shuai Zhang, Zhiyong Liu, Dongrui Fan, Fenglong Song, Mingzhe Zhang:
Energy-Performance Modeling and Optimization of Parallel Computing in On-Chip Networks. TrustCom/ISPA/IUCC 2013: 879-886 - [c42]Mingzhe Zhang, Da Wang, Xiaochun Ye, Liqiang He, Dongrui Fan, Zhiyong Liu:
A Path-Adaptive Opto-electronic Hybrid NoC for Chip Multi-processor. TrustCom/ISPA/IUCC 2013: 1198-1205 - 2012
- [j7]Dongrui Fan, Hao Zhang, Da Wang, Xiaochun Ye, Fenglong Song, Guojie Li, Ninghui Sun:
Godson-T: An Efficient Many-Core Processor Exploring Thread-Level Parallelism. IEEE Micro 32(2): 38-47 (2012) - [j6]Huimin Cui, Jingling Xue, Lei Wang, Yang Yang, Xiaobing Feng, Dongrui Fan:
Extendable pattern-oriented optimization directives. ACM Trans. Archit. Code Optim. 9(3): 14:1-14:37 (2012) - [c41]Shuai Jiao, Paolo Ienne, Xiaochun Ye, Da Wang, Dongrui Fan, Ninghui Sun:
CRAW/P: A Workload Partition Method for the Efficient Parallel Simulation of Manycores. Euro-Par 2012: 102-114 - [c40]Weizhi Xu, Zhiyong Liu, Jun Wu, Xiaochun Ye, Shuai Jiao, Da Wang, Fenglong Song, Dongrui Fan:
Auto-Tuning GEMV on Many-Core GPU. ICPADS 2012: 30-36 - [c39]Mingzhe Zhang, Liqiang He, Dongrui Fan:
Self-Correction Trace Model: A Full-System Simulator for Optical Network-on-Chip. IPDPS Workshops 2012: 242-247 - [c38]Da Wang, Lunkai Zhang, Weizhi Xu, Dongrui Fan, Fei Wang:
A SAT-based diagnosis pattern generation method for timing faults in scan chains. ISCAS 2012: 2308-2312 - 2011
- [j5]Dong-Rui Fan, Xiaowei Li, Guo-Jie Li:
New Methodologies for Parallel Architecture. J. Comput. Sci. Technol. 26(4): 578-587 (2011) - [j4]Nan Yuan, Lei Yu, Dongrui Fan:
An Efficient and Flexible Task Management for Many Cores. Trans. High Perform. Embed. Archit. Compil. 4: 294-310 (2011) - [c37]Liu Peng, Aiichiro Nakano, Guangming Tan, Priya Vashishta, Dongrui Fan, Hao Zhang, Rajiv K. Kalia, Fenglong Song:
Performance analysis and optimization of molecular dynamics simulation on Godson-T many-core processor. Conf. Computing Frontiers 2011: 32 - [c36]Huimin Cui, Jingling Xue, Lei Wang, Yang Yang, Xiaobing Feng, Dongrui Fan:
Extendable pattern-oriented optimization directives. CGO 2011: 107-118 - [c35]Dongrui Fan, Hao Zhang, Da Wang, Xiaochun Ye, Fenglong Song, Junchao Zhang, Lingjun Fan:
High-efficient architecture of Godson-T many-core processor. Hot Chips Symposium 2011: 1-31 - [c34]Lingjun Fan, Weisong Shi, Shibin Tang, Chenggang Yan, Dongrui Fan:
Optimizing Web Browser on Many-Core Architectures. PDCAT 2011: 173-178 - [p1]Carlos Kavka, Luka Onesti, Enrico Rigoni, Alessandro Turco, Sara Bocchio, Fabrizio Castro, Gianluca Palermo, Cristina Silvano, Vittorio Zaccaria, Giovanni Mariani, Dongrui Fan, Hao Zhang, Shibin Tang:
Design Space Exploration of Parallel Architectures. Multi-objective Design Space Exploration of Multiprocessor SoC Architectures 2011: 171-188 - 2010
- [j3]Huimin Cui, Lei Wang, Dong-Rui Fan, Xiaobing Feng:
Landing Stencil Code on Godson-T. J. Comput. Sci. Technol. 25(4): 886-894 (2010) - [c33]Fenglong Song, Dongrui Fan, Zhiyong Liu, Junchao Zhang, Lei Yu, Weizhi Xu:
Efficient Address Mapping of Shared Cache for On-Chip Many-Core Architecture. Euro-Par (1) 2010: 280-291 - [c32]Fenglong Song, Zhiyong Liu, Dongrui Fan, Hao Zhang, Lei Yu, Shibin Tang:
Thread Owned Block Cache: Managing Latency in Many-Core Architecture. Euro-Par (1) 2010: 292-303 - [c31]Liu Peng, Guangming Tan, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta, Dongrui Fan, Ninghui Sun:
Preliminary Investigation of Accelerating Molecular Dynamics Simulation on Godson-T Many-Core Processor. Euro-Par Workshops 2010: 349-356 - [c30]Zhengmeng Lei, Lunkai Zhang, Fenglong Song, Shibin Tang, Dongrui Fan:
GVE: Godson-T Verification Engine for many-core architecture rapid prototyping and debugging. FPT 2010: 253-256 - [c29]Xiaochun Ye, Dongrui Fan, Wei Lin, Nan Yuan, Paolo Ienne:
High performance comparison-based sorting algorithm on many-core GPUs. IPDPS 2010: 1-10 - [c28]Cristina Silvano, William Fornaciari, Gianluca Palermo, Vittorio Zaccaria, Fabrizio Castro, Marcos Martínez, Sara Bocchio, Roberto Zafalon, Prabhat Avasare, Geert Vanmeerbeeck, Chantal Ykman-Couvreur, Maryse Wouters, Carlos Kavka, Luka Onesti, Alessandro Turco, Umberto Bondi, Giovanni Mariani, Hector Posadas, Eugenio Villar, Chris Wu, Dongrui Fan, Hao Zhang, Shibin Tang:
MULTICUBE: Multi-Objective Design Space Exploration of Multi-Core Architectures. ISVLSI (Selected papers) 2010: 47-63 - [c27]Cristina Silvano, William Fornaciari, Gianluca Palermo, Vittorio Zaccaria, Fabrizio Castro, Marcos Martínez, Sara Bocchio, Roberto Zafalon, Prabhat Avasare, Geert Vanmeerbeeck, Chantal Ykman-Couvreur, Maryse Wouters, Carlos Kavka, Luka Onesti, Alessandro Turco, Umberto Bondi, Giovanni Mariani, Hector Posadas, Eugenio Villar, Chris Wu, Dongrui Fan, Hao Zhang, Shibin Tang:
MULTICUBE: Multi-objective Design Space Exploration of Multi-core Architectures. ISVLSI 2010: 488-493 - [c26]Guoping Long, Diana Franklin, Susmit Biswas, Pablo J. Ortiz, Jason Oberg, Dongrui Fan, Frederic T. Chong:
Minimal Multi-threading: Finding and Removing Redundant Instructions in Multi-threaded Processors. MICRO 2010: 337-348 - [c25]Huiwei Lv, Yuan Cheng, Lu Bai, Mingyu Chen, Dongrui Fan, Ninghui Sun:
P-GAS: Parallelizing a Cycle-Accurate Event-Driven Many-Core Processor Simulator Using Parallel Discrete Event Simulation. PADS 2010: 89-96
2000 – 2009
- 2009
- [j2]Dongrui Fan, Nan Yuan, Junchao Zhang, Yongbin Zhou, Wei Lin, Fenglong Song, Xiaochun Ye, He Huang, Lei Yu, Guoping Long, Hao Zhang, Lei Liu:
Godson-T: An Efficient Many-Core Architecture for Parallel Program Executions. J. Comput. Sci. Technol. 24(6): 1061-1073 (2009) - [c24]Fenglong Song, Zhiyong Liu, Dongrui Fan, Junchao Zhang, Lei Yu, Nan Yuan, Wei Lin:
Design of New Hash Mapping Functions. CIT (1) 2009: 45-50 - [c23]Wei Lin, Dongrui Fan, He Huang, Nan Yuan, Xiaochun Ye:
A Low-Complexity Synchronization Based Cache Coherence Solution for Many Cores. CIT (1) 2009: 69-75 - [c22]Yongbin Zhou, Junchao Zhang, Dongrui Fan:
Software and Hardware Cooperate for 1-D FFT Algorithm Optimization on Multicore Processors. CIT (1) 2009: 86-91 - [c21]Xiaochun Ye, Dongrui Fan, Wei Lin:
A Fast Linear-Space Sequence Alignment Algorithm with Dynamic Parallelization Framework. CIT (1) 2009: 274-279 - [c20]Guoping Long, Dongrui Fan, Junchao Zhang:
Characterizing and Understanding the Bandwidth Behavior of Workloads on Multi-core Processors. Euro-Par 2009: 110-121 - [c19]Nan Yuan, Yongbin Zhou, Guangming Tan, Junchao Zhang, Dongrui Fan:
High Performance Matrix Multiplication on Many Cores. Euro-Par 2009: 948-959 - [c18]He Huang, Lei Liu, Nan Yuan, Wei Lin, Fenglong Song, Junchao Zhang, Dongrui Fan:
A Synchronization-Based Alternative to Directory Protocol. ISPA 2009: 175-181 - [c17]Yongbin Zhou, Junchao Zhang, Shuai Zhang, Nan Yuan, Dongrui Fan:
Data Management: The Spirit to Pursuit Peak Performance on Many-Core Processor. ISPA 2009: 559-564 - [c16]Fenglong Song, Zhiyong Liu, Dongrui Fan, He Huang, Nan Yuan, Lei Yu, Junchao Zhang:
Evaluation Method of Synchronization for Shared-Memory On-Chip Many-Core Processor. ISPA 2009: 571-576 - [c15]Xu Wang, Ge Gan, Dongrui Fan, Shuxu Guo:
GFFC: The Global Feedback Based Flow Control in the NoC Design for Many-core Processor. NPC 2009: 227-232 - [c14]Guoping Long, Dongrui Fan, Junchao Zhang:
Architectural support for cilk computations on many-core architectures. PPoPP 2009: 285-286 - [c13]Lei Yu, Zhiyong Liu, Dongrui Fan, Fenglong Song, Junchao Zhang, Nan Yuan:
Study on Fine-Grained Synchronization in Many-Core Architecture. SNPD 2009: 524-529 - 2008
- [c12]Ergude Bao, Weisheng Li, Dongrui Fan, Xiaoyu Ma:
A Study and Implementation of the Huffman Algorithm Based on Condensed Huffman Table. CSSE (6) 2008: 42-45 - [c11]Guoping Long, Dongrui Fan, Junchao Zhang, Fenglong Song, Nan Yuan, Wei Lin:
A Performance Model of Dense Matrix Operations on Many-Core Architectures. Euro-Par 2008: 120-129 - [c10]Xu Wang, Ge Gan, Joseph B. Manzano, Dongrui Fan, Shuxu Guo:
A Quantitative Study of the On-Chip Network and Memory Hierarchy Design for Many-Core Processor. ICPADS 2008: 689-696 - [c9]Guoping Long, Nan Yuan, Dongrui Fan:
Location Consistency Model Revisited: Problem, Solution and Prospects. PDCAT 2008: 91-98 - [c8]Xiaochun Ye, Van Hoa Nguyen, Dominique Lavenier, Dongrui Fan:
Efficient Parallelization of a Protein Sequence Comparison Algorithm on Manycore Architecture. PDCAT 2008: 167-170 - [c7]Guangming Tan, Dongrui Fan, Junchao Zhang, Andrew Russo, Guang R. Gao:
Experience on optimizing irregular computation for memory hierarchy in manycore architecture. PPoPP 2008: 279-280 - 2007
- [c6]Xuehai Qian, He Huang, Zhenzhong Duan, Junchao Zhang, Nan Yuan, Yongbin Zhou, Hao Zhang, Huimin Cui, Dongrui Fan:
Optimized Register Renaming Scheme for Stack-Based x86 Operations. ARCS 2007: 43-56 - [c5]Xuehai Qian, Hao Zhang, Jingang Yang, He Huang, Junchao Zhang, Dongrui Fan:
Circuit implementation of floating point range reduction for trigonometric functions. ISCAS 2007: 3010-3013 - [c4]Hao Zhang, Dongrui Fan:
Simplified Multi-Ported Cache in High Performance Processor. IEEE NAS 2007: 9-14 - [c3]Xuehai Qian, He Huang, Hao Zhang, Guoping Long, Junchao Zhang, Dongrui Fan:
Design and Implementation of Floating Point Stack on General RISC Architecture. PDP 2007: 238-245 - 2005
- [c2]Dongrui Fan, Zhimin Tang, Hailin Huang, Guang R. Gao:
An energy efficient TLB design methodology. ISLPED 2005: 351-356 - [c1]Xiaotao Chang, Dongrui Fan, Yinhe Han, Zhimin Zhang:
SoC Leakage Power Reduction Algorithm by Input Vector Control. SoC 2005: 86-89 - 2003
- [j1]Dongrui Fan, Hongbo Yang, Guang Rong Gao, Rongcai Zhao:
Evaluation and Choice of Various Branch Predictors for Low-Power Embedded Processor. J. Comput. Sci. Technol. 18(6): 833-838 (2003)
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-10 21:14 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint