skip to main content
10.5555/2616606.2616898acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

Application mapping for express channel-based networks-on-chip

Published: 24 March 2014 Publication History

Abstract

With the emergence of many-core multiprocessor system-on-chips (MPSoCs), the on-chip networks are facing serious challenges in providing fast communication for various tasks and cores. One promising solution shown in recent studies is to add express channels to the network as shortcuts to bypass intermediate routers, thereby reducing packet latency. However, this approach also greatly changes the packet delay estimation and traffic behaviors of the network, both of which have not yet been exploited in existing mapping algorithms. In this paper, we explore the opportunities in optimizing application mapping for express channel-based on-chip networks. Specifically, we derive a new delay model for this type of networks, identify their unique characteristics, and propose an efficient heuristic mapping algorithm that increases the bypassing opportunities by reducing unnecessary turns that would otherwise impose the entire router pipeline delay to packets. Simulation results show that the proposed algorithm can achieve a 2~4X reduction in the number of turns and 10~26% reduction in the average packet delay.

References

[1]
Balfour, J., & Dally, W. J. (2006). Design tradeoffs for tiled CMP on-chip networks. In ACM International Conference on Supercomputing.
[2]
Chen, G., Li, F., Son, S. W., & Kandemir, M. (2008). Application mapping for chip multiprocessors. In Design Automation Conference.
[3]
Cormen, T. H., Leiserson, C. E., Rivest, R. L., & Stein, C. (2001). Introduction to algorithms. MIT press.
[4]
Dick, R. P., Rhodes, D. L., & Wolf, W. (1998). TGFF: task graphs for free. In Proceedings of the 6th international workshop on Hardware/software codesign (pp. 97--101). IEEE Computer Society.
[5]
Faruque, A., Abdullah, M., Krist, R., & Henkel, J. (2008, June). ADAM: run-time agent-based distributed application mapping for on-chip communication. In Proceedings of the 45th annual Design Automation Conference (pp. 760--765). ACM.
[6]
Garey, M. R., & Johnson, D. S. (1979). Computers and intractability A Guide to the Theory of NP-Completeness.
[7]
B. Grot, J. Hestness, S. W. Keckler, and O. Mutlu (2009). Express cube topologies for on-chip interconnects. In International Symposium on High Performance Computer Architecture (pp. 163--174).
[8]
J. Howard, S. Dighe, Y. Hoskote, et al. (2010). A 48-core IA-32 message-passing processor with DVFS in 45nm CMOS. In IEEE International Solid-State Circuits Conference (pp. 108--109)
[9]
Hu, J., & Marculescu, R. (2003). Energy-aware mapping for tile-based NoC architectures under performance constraints. In Proceedings of the ASP-DAC.
[10]
Jang, W., & Pan, D. Z. (2012). A3MAP: Architecture-aware analytic mapping for networks-on-chip. ACM Transactions on Design Automation of Electronic Systems (TODAES), 17(3), 26.
[11]
Kernighan, B. W., & Lin, S. (1970). An efficient heuristic procedure for partitioning graphs. Bell Systems Technical Journal, 49.
[12]
J. Kim, J. Balfour, and W. J. Dally (2007). Flattened butterfly topology for on-chip networks. In IEEE/ACM International Symposium on Microarchitecture (pp. 172--182).
[13]
Kuhn, H. W. (2005), The Hungarian method for the assignment problem. Naval Research Logistics.
[14]
Kumar, A., Peh, L.-S., Kundu, P. & Jha, Niraj K. (2007). Express virtual channels: Towards the ideal interconnection fabric. In IEEE International Symposium on Computer Architecture.
[15]
Lei, T., & Kumar, S. (2003, September). A two-step genetic algorithm for mapping task graphs to a network on chip architecture. In Digital System Design, 2003. Proceedings. Euromicro Symposium on (pp. 180--187). IEEE.
[16]
Murali, S., & De Micheli, G. (2004). Bandwidth-constrained mapping of cores onto NoC architectures. In Proceedings of the conference on Design, automation and test in Europe.
[17]
Sun, C., Chen, C., Kurian, G., et al. (2012). DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling. In International Symposium on Networks-on-Chip.
[18]
Tilera Corporation. http://www.tilera.com/products/processors.

Cited By

View all

Index Terms

  1. Application mapping for express channel-based networks-on-chip

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Other conferences
        DATE '14: Proceedings of the conference on Design, Automation & Test in Europe
        March 2014
        1959 pages
        ISBN:9783981537024

        Sponsors

        • EDAA: European Design Automation Association
        • ECSI
        • EDAC: Electronic Design Automation Consortium
        • IEEE Council on Electronic Design Automation (CEDA)
        • The Russian Academy of Sciences: The Russian Academy of Sciences

        In-Cooperation

        Publisher

        European Design and Automation Association

        Leuven, Belgium

        Publication History

        Published: 24 March 2014

        Check for updates

        Author Tags

        1. application mapping
        2. express channels
        3. network-on-chip

        Qualifiers

        • Research-article

        Conference

        DATE '14
        Sponsor:
        • EDAA
        • EDAC
        • The Russian Academy of Sciences
        DATE '14: Design, Automation and Test in Europe
        March 24 - 28, 2014
        Dresden, Germany

        Acceptance Rates

        Overall Acceptance Rate 518 of 1,794 submissions, 29%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)2
        • Downloads (Last 6 weeks)1
        Reflects downloads up to 01 Jan 2025

        Other Metrics

        Citations

        Cited By

        View all

        View Options

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media