skip to main content
10.5555/1129601.1129693acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

Fast thermal simulation for architecture level dynamic thermal management

Published: 31 May 2005 Publication History

Abstract

As power density increases exponentially, runtime regulation of operating temperature by dynamic thermal managements becomes necessary. This paper proposes a novel approach to the thermal analysis at chip architecture level for efficient dynamic thermal management. Our new approach is based on the observation that the power consumption of architecture level modules in microprocessors running typical workloads presents strong nature of periodicity. Such a feature can be exploited by fast spectrum analysis in frequency domain for computing steady state response. To obtain the transient temperature changes due to initial condition and constant power inputs, numerically stable moment matching approach is carried out. The total transient responses is the addition of the two simulation results. The resulting fast thermal analysis algorithm leads to at least 10/spl times/-100/spl times/ speedup over traditional integration-based transient analysis with small accuracy loss.

References

[1]
{1} Http://www.spec.org/cpu2000/CFP2000/.
[2]
{2} "International technology roadmap for semiconductors(itrs), 2004 update," 2001, http://public.itrs.net.
[3]
{3} D. Brooks and M. Martonosi, "Dynamic thermal management for high-performance microprocessors," in Proc. of Intl. Symp. on High-Performance Comp. Architecture, 2001, pp. 171-182.
[4]
{4} Y.-K. Cheng, C.-H. Tsai, C.-C. Teng, and S.-M. Kang, Electrothermal Analysis of VLSI Systems. Kluwer Academic Publishers, 2000.
[5]
{5} W. Huang, M. Stan, K. Skadron, K. Sankaranarayanan, S. Ghosh, and S. Velusamy, "Compact thermal modeling for temperature-aware design," in Proc. Design Automation Conf. (DAC), 2004, pp. 878-883.
[6]
{6} Y. Ismail, "Efficient model order reduction via multinode moment matching," in Proc. Int. Conf. on Computer Aided Design (ICCAD), Nov. 2002, pp. 767-774.
[7]
{7} S. Lee, S. Song, V. Au, and K. Moran, "Constricting/spreading resistance model for electronics packaging," in Proc. ASME/JSME Thermal Engineering Conference, Mar. 1995, pp. 199-206.
[8]
{8} L. T. Pillage and R. A. Rohrer, "Asymptotic waveform evaluation for timing analysis," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, pp. 352-366, April 1990.
[9]
{9} T. Sherwood, E. Perelman, and B. Calder, "Basic block distribution analysis to find periodic behavior and simulation points in applications," in the International Conference on Parallel Architectures and Compilation Techniques(PACT2001), 2001, pp. 3-14.
[10]
{10} T. Sherwood, E. Perelman, G. Hamerly, S. Sair, and B. Calder, "Discovering and exploiting program phases," in IEEE Micro: Micro's Top Picks from Computer Architecture Conferences, 2003, pp. 84-93.
[11]
{11} T. Sherwood, S. Sair, and B. Calder, "Phase tracking and prediction," in Proc. IEEE International Symposium on Computer Architecture (ISCA), 2003, pp. 45-57.
[12]
{12} K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan, "Temperature aware microarchitecture," in Proc. IEEE International Symposium on Computer Architecture (ISCA), 2003, pp. 2-13.
[13]
{13} K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan, "Temperature aware microarchitecture: Extended discussion and results," in University of Virginia, Dept. of Computer Science, Technicial Report CS-2003-08, Apr. 2003.
[14]
{14} B. Wang and P. Mazumder, "Fast thermal analysis for vlsi circuits via semi-analytical green's function in multi-layer materials," in Proc. IEEE Int. Symp. on Circuits and Systems (ISCAS), 2004.
[15]
{15} T. Y. Wang and C. C. Chen, "3-D thermal-ADI: a linear-time chip level transient thermal simulator," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, no. 12, pp. 1434-1445, Dec. 2002.
[16]
{16} T. Y. Wang and C. C. Chen, "Spice-compatible thermal simulation with lumped circuit modeling for thermal reliability analysis based on model reduction," in Proc. Int. Symposium. on Quality Electronic Design (ISQED), 2004, pp. 357-362.
[17]
{17} Y. Zhan and S. Sapatnekar, "Fast computation of the temperature distribution in vlsi chips using the discrete cosine transform and table look-up," in Proc. Asia South Pacific Design Automation Conf. (ASPDAC), Jan. 2005, pp. 87-92.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '05: Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
May 2005
1032 pages
ISBN:078039254X

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 31 May 2005

Check for updates

Qualifiers

  • Article

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 16 Oct 2024

Other Metrics

Citations

Cited By

View all

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media