Export Citations
Save this search
Please login to be able to save your searches and receive alerts for new content matching your search criteria.
- ArticleOctober 2003
Cost-Efficient Memory Architecture Design of NAND Flash Memory Embedded Systems
NAND flash memory has become an indispensable component in embedded systems because of its versatile features such as non-volatility, solid-state reliability, low cost and high density. Even though NAND flash memory gains popularity as data storage, it ...
- ArticleOctober 2003
Reducing Multimedia Decode Power using Feedback Control
Despite recent advances, battery life continues to be a limiting factor in mobile multimedia systems. Significant energy savings can be achieved by adapting systems at run-time to match the execution requirements of different tasks. This paper introduces ...
- ArticleOctober 2003
Low-Density Parity-Check Decoder Architecture for High Throughput Optical Fiber Channels
A requirement-specific decoder design for forward error-correction in 2 Gbps optical fiber communication system ispresented. Low-density parity-check codes are used to achieve high bit error rate performance. Several novel error- decoding architectures are ...
- ArticleOctober 2003
xpipes: a Latency Insensitive Parameterized Network-on-chip Architecture For Multi-Processor SoCs
The growing complexity of customizable embedded multi-processor architectures for digital media processing will soon require highly scalable network-on-chip based communication infrastructures. In this paper, we propose xpipes, a scalable and high-...
-
- ArticleOctober 2003
A Mixed-Mode Delay-Locked-Loop Architecture
We present a mixed-mode delay-locked loop (DLL) architecture intended for multiple-phase clock generation. In contrast to analog DLLs, the proposed architecture allows for clock-gating; moreover, circuit simulations indicate that its performance (in terms ...
- ArticleOctober 2003
Routed Inter-ALU Networks for ILP Scalability and Performance
Modern processors rely heavily on broadcast networks to bypass instruction results to dependent instructions in the pipeline. However, as clock rates increase, architectures get wider, and pipelines get deeper, broadcasting becomes more complex, slower, and ...
- ArticleOctober 2003
Aggressive Test Power Reduction Through Test Stimuli Transformation
Excessive switching activity during shift cycles in scan-based cores imposes considerable test power challenges. To ensure rapid and reliable test of SOCs, we propose a scan chain modification methodology that transforms the stimuli to be inserted to the ...
- ArticleOctober 2003
Test Generation for Non-separable RTL Controller-datapath Circuits using a Satisfiability based Approach
In this paper, we present a satisfiability-based algorithm for automatically generating test sequences that target gate-level stuck-at faults in a circuit by using its register-transfer level (RTL)description. Our methodology exploits a popular, unified ...
- ArticleOctober 2003
Procedures for Identifying Untestable and Redundant Transition Faults in Synchronous Sequential Circuits
Due to their simplicity transition faults are often used as targets for test generation to detect delay defects. However, one concern documented in the literature is that of over testing. One of the reasons for overtesting is that DFT approaches, such as ...
- ArticleOctober 2003
On Reducing Register Pressure and Energy in Multiple-Banked Register Files
The storage for speculative values in superscalar processors is one of the main sources of complexity and power dissipation. In this paper, we present a novel technique to reduce register requirements as well as their dynamic and static power dissipation ...
- ArticleOctober 2003
Energy Efficient Asymmetrically Ported Register Files
Power consumption in the register file (RF) forms a considerable fraction of the total power consumption in a chip. With increasing instruction window sizes and issue widths, RF power consumption will suffer a significantly large growth. Using the fact ...
- ArticleOctober 2003
Flow-Based Cell Moving Algorithm for Desired Cell Distribution
In this paper, we propose a new cell moving algorithm to achieve a desired cell density distribution during the detailed placement stage of a large size standard-cell placement flow. To evaluate our algorithm, we also define a distribution deviation metric ...
- ArticleOctober 2003
Physical Design of the "2.5D" Stacked System
Excessive on-chip wire length and fast increasing fabrication cost have been the main factors impairing the effectiveness of monolithic System-on-Chip. This paper investigates a die stacking based system integration strategy (2.5D system integration) to ...
- ArticleOctober 2003
Spec Based Flip-Flop And Buffer Insertion
Efficient algorithms for the automatic insertion of flip-flops and buffers are presented. The algorithms have been implemented as part of an automatic repeater insertion tool. The algorithms use a dynamic programming framework to build viable solution ...
- ArticleOctober 2003
An Efficient VLIW DSP Architecture for Baseband Processing
The VLIW processors with static instruction scheduling and thus deterministic execution times are very suitable for high-performancereal-time DSP applications. But the two major weaknesses in VLIW processors prevent the integration of morefunctional units (...
- ArticleOctober 2003
Dynamic Cluster Resizing
Processor resources required for an effective execution of an application vary across different sections. We propose to take advantage of clustering to turn-off resources that do not contribute to improve performance. First, we present a simple hardware ...
- ArticleOctober 2003
Distributed Reorder Buffer Schemes for Low Power
We consider two approaches for reducing the complexity and power dissipation in processors that use separate register file to maintain committed register values. The first approach relies on a distributed implementation of the Reorder Buffer (ROB) that ...