No abstract available.
Cited By
- Jin Z, Pittman R and Forin A Reconfigurable custom floating-point instructions (abstract only) Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays, (287-287)
- Carver J, Pittman R and Forin A Automatic bus macro placement for partially reconfigurable FPGA designs Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays, (269-272)
- Kumar S, Kim D, Smelyanskiy M, Chen Y, Chhugani J, Hughes C, Kim C, Lee V and Nguyen A Atomic Vector Operations on Chip Multiprocessors Proceedings of the 35th Annual International Symposium on Computer Architecture, (441-452)
- Chen S, Kozuch M, Strigkos T, Falsafi B, Gibbons P, Mowry T, Ramachandran V, Ruwase O, Ryan M and Vlachos E Flexible Hardware Acceleration for Instruction-Grain Program Monitoring Proceedings of the 35th Annual International Symposium on Computer Architecture, (377-388)
- Kumar S, Kim D, Smelyanskiy M, Chen Y, Chhugani J, Hughes C, Kim C, Lee V and Nguyen A (2008). Atomic Vector Operations on Chip Multiprocessors, ACM SIGARCH Computer Architecture News, 36:3, (441-452), Online publication date: 1-Jun-2008.
- Chen S, Kozuch M, Strigkos T, Falsafi B, Gibbons P, Mowry T, Ramachandran V, Ruwase O, Ryan M and Vlachos E (2008). Flexible Hardware Acceleration for Instruction-Grain Program Monitoring, ACM SIGARCH Computer Architecture News, 36:3, (377-388), Online publication date: 1-Jun-2008.
- Chen X and Maskell D (2007). Supporting multiple-input, multiple-output custom functions in configurable processors, Journal of Systems Architecture: the EUROMICRO Journal, 53:5-6, (263-271), Online publication date: 1-May-2007.
- Shinozaki A, Shima M, Guo M and Kubo M A high performance simulator system for a multiprocessor system based on a multi-way cluster Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture, (231-243)
- Jaleel A and Jacob B (2006). In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs), IEEE Transactions on Computers, 55:5, (559-574), Online publication date: 1-May-2006.
- Fechner B Analysis of checksum-based execution schemes for pipelined processors Proceedings of the 20th international conference on Parallel and distributed processing, (344-344)
- Dias J and Ramsey N Converting intermediate code to assembly code using declarative machine descriptions Proceedings of the 15th international conference on Compiler Construction, (217-231)
- Velev M Formal Verification of Pipelined Microprocessors with Delayed Branches Proceedings of the 7th International Symposium on Quality Electronic Design, (296-299)
- Chen X and Maskell D M2E Proceedings of the 19th international conference on Architecture of Computing Systems, (191-201)
- Fang Z, Zhang L, Carter J, Cheng L and Parker M (2005). Fast synchronization on shared-memory multiprocessors, Journal of Parallel and Distributed Computing, 65:10, (1158-1170), Online publication date: 1-Oct-2005.
- Kranitis N, Paschalis A, Gizopoulos D and Xenoulis G (2005). Software-Based Self-Testing of Embedded Processors, IEEE Transactions on Computers, 54:4, (461-475), Online publication date: 1-Apr-2005.
- Zhou P, Qin F, Liu W, Zhou Y and Torrellas J iWatcher Proceedings of the 31st annual international symposium on Computer architecture
- Zhou P, Qin F, Liu W, Zhou Y and Torrellas J (2004). iWatcher, ACM SIGARCH Computer Architecture News, 32:2, (224), Online publication date: 2-Mar-2004.
- Muraoka M, Nishi H, Morizawa R, Yokota H and Hamada H Design methodology for SoC arthitectures based on reusable virtual cores Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (256-262)
- Vassiliadis S, Wong S and Cotofana S (2018). Microcode Processing, IEEE Micro, 23:4, (21-31), Online publication date: 1-Jul-2003.
- Nishi H, Muraoka M, Morizawa R, Yokota H and Hamada H Synthesis for SoC architecture using VCores Proceedings of the 2003 Asia and South Pacific Design Automation Conference, (446-452)
- Navarro J, Iyer S, Druschel P and Cox A (2002). Practical, transparent operating system support for superpages, ACM SIGOPS Operating Systems Review, 36:SI, (89-104), Online publication date: 31-Dec-2003.
- Navarro J, Iyer S, Druschel P and Cox A Practical, transparent operating system support for superpages Proceedings of the 5th symposium on Operating systems design and implementation (Copyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading), (89-104)
- Witchel E, Cates J and Asanović K (2002). Mondrian memory protection, ACM SIGOPS Operating Systems Review, 36:5, (304-316), Online publication date: 1-Dec-2002.
- Witchel E, Cates J and Asanović K (2002). Mondrian memory protection, ACM SIGARCH Computer Architecture News, 30:5, (304-316), Online publication date: 1-Dec-2002.
- Hahn J, Ha R, Min S and Liu J (2019). Analysis of Worst Case DMA Response Time in a Fixed-Priority Bus Arbitration Protocol, Real-Time Systems, 23:3, (209-238), Online publication date: 1-Nov-2002.
- Witchel E, Cates J and Asanović K Mondrian memory protection Proceedings of the 10th international conference on Architectural support for programming languages and operating systems, (304-316)
- Witchel E, Cates J and Asanović K (2002). Mondrian memory protection, ACM SIGPLAN Notices, 37:10, (304-316), Online publication date: 1-Oct-2002.
- Milner C and Davidson J (2019). Quick piping, ACM SIGPLAN Notices, 37:7, (175-184), Online publication date: 17-Jul-2002.
- Milner C and Davidson J Quick piping Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems, (175-184)
- Min R and Hu Y (2001). Improving Performance of Large Physically Indexed Caches by Decoupling Memory Addresses from Cache Addresses, IEEE Transactions on Computers, 50:11, (1191-1201), Online publication date: 1-Nov-2001.
- Jacob B and Mudge T (2001). Uniprocessor Virtual Memory without TLBs, IEEE Transactions on Computers, 50:5, (482-499), Online publication date: 1-May-2001.
- Bryant R, German S and Velev M (2001). Processor verification using efficient reductions of the logic of uninterpreted functions to propositional logic, ACM Transactions on Computational Logic (TOCL), 2:1, (93-134), Online publication date: 1-Jan-2001.
- Sleipnir-An Instruction-Level Simulator Generator Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
- Ofelt D and Hennessy J Efficient performance prediction for modern microprocessors Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, (229-239)
- Ofelt D and Hennessy J (2000). Efficient performance prediction for modern microprocessors, ACM SIGMETRICS Performance Evaluation Review, 28:1, (229-239), Online publication date: 1-Jun-2000.
- Park C, Chung J, Seong B, Roh Y and Park D Boosting superpage utilization with the shadow memory and the partial-subblock TLB Proceedings of the 14th international conference on Supercomputing, (187-195)
- Govil K, Teodosiu D, Huang Y and Rosenblum M (1999). Cellular Disco, ACM SIGOPS Operating Systems Review, 33:5, (154-169), Online publication date: 12-Dec-1999.
- Govil K, Teodosiu D, Huang Y and Rosenblum M Cellular Disco Proceedings of the seventeenth ACM symposium on Operating systems principles, (154-169)
- Oskin M, Hensley J, Keen D, Chong F, Farrens M and Chopra A Exploiting ILP in page-based intelligent memory Proceedings of the 32nd annual ACM/IEEE international symposium on Microarchitecture, (208-218)
- Velev M and Bryant R Exploiting positive equality and partial non-consistency in the formal verification of pipelined microprocessors Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (397-401)
- Manohar R, Lee T and Martin A Projection Proceedings of the 5th International Symposium on Advanced Research in Asynchronous Circuits and Systems
- Morsiani M and Davoli R Learning operating systems structure and implementation through the MPS computer system simulator The proceedings of the thirtieth SIGCSE technical symposium on Computer science education, (63-67)
- Morsiani M and Davoli R (1999). Learning operating systems structure and implementation through the MPS computer system simulator, ACM SIGCSE Bulletin, 31:1, (63-67), Online publication date: 1-Mar-1999.
- Gschwind M Instruction set selection for ASIP design Proceedings of the seventh international workshop on Hardware/software codesign, (7-11)
- Dougan C, Mackerras P and Yodaiken V Optimizing the idle task and other MMU tricks Proceedings of the third symposium on Operating systems design and implementation, (229-237)
- Machanick P, Salverda P and Pompe L (1998). Hardware-software trade-offs in a direct Rambus implementation of the RAMpage memory hierarchy, ACM SIGOPS Operating Systems Review, 32:5, (105-114), Online publication date: 1-Dec-1998.
- Araujo G, Centoducatte P, Cartes M and Pannain R Code compression based on operand factorization Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture, (194-201)
- Luk C and Mowry T Cooperative prefetching Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture, (182-194)
- Machanick P, Salverda P and Pompe L (2019). Hardware-software trade-offs in a direct Rambus implementation of the RAMpage memory hierarchy, ACM SIGPLAN Notices, 33:11, (105-114), Online publication date: 1-Nov-1998.
- Machanick P, Salverda P and Pompe L Hardware-software trade-offs in a direct Rambus implementation of the RAMpage memory hierarchy Proceedings of the eighth international conference on Architectural support for programming languages and operating systems, (105-114)
- Jacob B and Mudge T (1998). Virtual Memory in Contemporary Microprocessors, IEEE Micro, 18:4, (60-75), Online publication date: 1-Jul-1998.
- Sastry S, Palacharla S and Smith J (1998). Exploiting idle floating-point resources for integer execution, ACM SIGPLAN Notices, 33:5, (118-129), Online publication date: 1-May-1998.
- Sastry S, Palacharla S and Smith J Exploiting idle floating-point resources for integer execution Proceedings of the ACM SIGPLAN 1998 conference on Programming language design and implementation, (118-129)
- Albrecht T, Notbauer J and Rohringer S HW/SW coverification performance estimation and benchmark for a 24 embedded RISC core design Proceedings of the 35th annual Design Automation Conference, (808-811)
- Salapura V and Gschwind M Hardware/software co-design of a fuzzy RISC processor Proceedings of the conference on Design, automation and test in Europe, (875-882)
- Benini L, De Micheli G, Macii E, Sciuto D and Silvano C Address bus encoding techniques for system-level power optimization Proceedings of the conference on Design, automation and test in Europe, (861-867)
- Tyson G and Austin T Improving the accuracy and performance of memory communication through renaming Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, (218-227)
- Olukotun K, Mudge T and Brown R (1997). Multilevel Optimization of Pipelined Caches, IEEE Transactions on Computers, 46:10, (1093-1102), Online publication date: 1-Oct-1997.
- Martin A, Lines A, Manohar R, Nystroem M, Penzes P, Southworth R and Cummings U The Design of an Asynchronous MIPS R3000 Microprocessor Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97)
- Shao Z (2019). Flexible representation analysis, ACM SIGPLAN Notices, 32:8, (85-98), Online publication date: 1-Aug-1997.
- Shao Z Flexible representation analysis Proceedings of the second ACM SIGPLAN international conference on Functional programming, (85-98)
- Lee M, Min S, Shin H, Kim C and Park C (2019). Threaded Prefetching, Real-Time Systems, 13:1, (47-65), Online publication date: 1-Jul-1997.
- Taura K and Yonezawa A (2019). Fine-grain multithreading with minimal compiler support—a cost effective approach to implementing efficient multithreading languages, ACM SIGPLAN Notices, 32:5, (320-333), Online publication date: 1-May-1997.
- Taura K and Yonezawa A Fine-grain multithreading with minimal compiler support—a cost effective approach to implementing efficient multithreading languages Proceedings of the ACM SIGPLAN 1997 conference on Programming language design and implementation, (320-333)
- Shuler J and Bauer H Look-ahead allocation in the presence of branches Proceedings of the 1997 ACM symposium on Applied computing, (504-508)
- Wilberg J and Camposano R (1997). VLIW Processor Codesign for Video Processing, Design Automation for Embedded Systems, 2:1, (79-119), Online publication date: 1-Jan-1997.
- Conte T, Sathaye S and Banerjia S A persistent rescheduled-page cache for low overhead object code compatibility in VLIW architectures Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture, (4-13)
- Romer T, Lee D, Voelker G, Wolman A, Wong W, Baer J, Bershad B and Levy H (1996). The structure and performance of interpreters, ACM SIGOPS Operating Systems Review, 30:5, (150-159), Online publication date: 1-Dec-1996.
- Chen P, Ng W, Chandra S, Aycock C, Rajamani G and Lowell D (1996). The Rio file cache, ACM SIGOPS Operating Systems Review, 30:5, (74-83), Online publication date: 1-Dec-1996.
- Machanick P (1996). The case for SRAM main memory, ACM SIGARCH Computer Architecture News, 24:5, (23-30), Online publication date: 1-Dec-1996.
- Romer T, Lee D, Voelker G, Wolman A, Wong W, Baer J, Bershad B and Levy H The structure and performance of interpreters Proceedings of the seventh international conference on Architectural support for programming languages and operating systems, (150-159)
- Chen P, Ng W, Chandra S, Aycock C, Rajamani G and Lowell D The Rio file cache Proceedings of the seventh international conference on Architectural support for programming languages and operating systems, (74-83)
- Romer T, Lee D, Voelker G, Wolman A, Wong W, Baer J, Bershad B and Levy H (2019). The structure and performance of interpreters, ACM SIGPLAN Notices, 31:9, (150-159), Online publication date: 1-Sep-1996.
- Chen P, Ng W, Chandra S, Aycock C, Rajamani G and Lowell D (2019). The Rio file cache, ACM SIGPLAN Notices, 31:9, (74-83), Online publication date: 1-Sep-1996.
- Austin T and Sohi G High-bandwidth address translation for multiple-issue processors Proceedings of the 23rd annual international symposium on Computer architecture, (158-167)
- Seznec A Don't use the page number, but a pointer to it Proceedings of the 23rd annual international symposium on Computer architecture, (104-113)
- Eichenberger A and Davidson E (1996). A reduced multipipeline machine description that preserves scheduling constraints, ACM SIGPLAN Notices, 31:5, (12-22), Online publication date: 1-May-1996.
- Austin T and Sohi G (1996). High-bandwidth address translation for multiple-issue processors, ACM SIGARCH Computer Architecture News, 24:2, (158-167), Online publication date: 1-May-1996.
- Seznec A (1996). Don't use the page number, but a pointer to it, ACM SIGARCH Computer Architecture News, 24:2, (104-113), Online publication date: 1-May-1996.
- Eichenberger A and Davidson E A reduced multipipeline machine description that preserves scheduling constraints Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation, (12-22)
- Talluri M, Hill M and Khalidi Y (1995). A new page table for 64-bit address spaces, ACM SIGOPS Operating Systems Review, 29:5, (184-200), Online publication date: 3-Dec-1995.
- Talluri M, Hill M and Khalidi Y A new page table for 64-bit address spaces Proceedings of the fifteenth ACM symposium on Operating systems principles, (184-200)
- Davidson J and Jinturkar S Improving instruction-level parallelism by loop unrolling and dynamic memory disambiguation Proceedings of the 28th annual international symposium on Microarchitecture, (125-132)
- Austin T and Sohi G Zero-cycle loads Proceedings of the 28th annual international symposium on Microarchitecture, (82-92)
- Ahuja P, Clark D and Rogers A The performance impact of incomplete bypassing in processor pipelines Proceedings of the 28th annual international symposium on Microarchitecture, (36-45)
- Wawrzynek J, Asanovic K, Kingsbury B, Beck J, Johnson D and Morgan N SPERT-II Proceedings of the 9th International Conference on Neural Information Processing Systems, (619-625)
- Shyu I and Shieh S (1995). Virtual address translation for wide-address architectures, ACM SIGOPS Operating Systems Review, 29:4, (37-46), Online publication date: 1-Oct-1995.
- Romer T, Ohlrich W, Karlin A and Bershad B Reducing TLB and memory overhead using online superpage promotion Proceedings of the 22nd annual international symposium on Computer architecture, (176-187)
- Lim S, Bae Y, Jang G, Rhee B, Min S, Park C, Shin H, Park K, Moon S and Kim C (1995). An Accurate Worst Case Timing Analysis for RISC Processors, IEEE Transactions on Software Engineering, 21:7, (593-604), Online publication date: 1-Jul-1995.
- Motomura M, Inoue T, Torii S and Konagaya A Ordered multithreading Proceedings of the IFIP WG10.3 working conference on Parallel architectures and compilation techniques, (37-48)
- Romer T, Ohlrich W, Karlin A and Bershad B (1995). Reducing TLB and memory overhead using online superpage promotion, ACM SIGARCH Computer Architecture News, 23:2, (176-187), Online publication date: 1-May-1995.
- Stone J and Fitzgerald R (1995). Storage in the PowerPC, IEEE Micro, 15:2, (50-58), Online publication date: 1-Apr-1995.
- Casavant A Balancing structural hazards and hardware cost of pipelined processors Proceedings of the 1995 European conference on Design and Test
- Proebsting T Optimizing an ANSI C interpreter with superoperators Proceedings of the 22nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (322-332)
- Bailey M and Davidson J A formal model and specification language for procedure calling conventions Proceedings of the 22nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (298-310)
- Seznec A DASC cache Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
- Temam O and Drach N Software assistance for data caches Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
- Engler D and Proebsting T (1994). DCG, ACM SIGOPS Operating Systems Review, 28:5, (263-272), Online publication date: 1-Dec-1994.
- Talluri M and Hill M (1994). Surpassing the TLB performance of superpages with less operating system support, ACM SIGOPS Operating Systems Review, 28:5, (171-182), Online publication date: 1-Dec-1994.
- Thekkath C and Levy H (1994). Hardware and software support for efficient exception handling, ACM SIGOPS Operating Systems Review, 28:5, (110-119), Online publication date: 1-Dec-1994.
- Skudlarek J (1994). Remarks on A methodology for implementing highly concurrent data, ACM SIGPLAN Notices, 29:12, (87-93), Online publication date: 1-Dec-1994.
- Franklin M and Smotherman M A fill-unit approach to multiple instruction issue Proceedings of the 27th annual international symposium on Microarchitecture, (162-171)
- Golden M and Mudge T A comparison of two pipeline organizations Proceedings of the 27th annual international symposium on Microarchitecture, (153-161)
- Engler D and Proebsting T DCG Proceedings of the sixth international conference on Architectural support for programming languages and operating systems, (263-272)
- Talluri M and Hill M Surpassing the TLB performance of superpages with less operating system support Proceedings of the sixth international conference on Architectural support for programming languages and operating systems, (171-182)
- Thekkath C and Levy H Hardware and software support for efficient exception handling Proceedings of the sixth international conference on Architectural support for programming languages and operating systems, (110-119)
- Engler D and Proebsting T (1994). DCG, ACM SIGPLAN Notices, 29:11, (263-272), Online publication date: 1-Nov-1994.
- Talluri M and Hill M (1994). Surpassing the TLB performance of superpages with less operating system support, ACM SIGPLAN Notices, 29:11, (171-182), Online publication date: 1-Nov-1994.
- Thekkath C and Levy H (1994). Hardware and software support for efficient exception handling, ACM SIGPLAN Notices, 29:11, (110-119), Online publication date: 1-Nov-1994.
- Chen S, Fuchs W and Hwu W An Analytical Approach to Scheduling Code for Superscalar and VLIW Architectures Proceedings of the 1994 International Conference on Parallel Processing - Volume 01, (285-292)
- Temam O and Jegou Y Using virtual lines to enhance locality exploitation Proceedings of the 8th international conference on Supercomputing, (344-352)
- Casavant A MIST—a design aid for programmable pipelined processors Proceedings of the 31st annual Design Automation Conference, (532-536)
- Temam O, Fricker C and Jalby W (2019). Cache interference phenomena, ACM SIGMETRICS Performance Evaluation Review, 22:1, (261-271), Online publication date: 1-May-1994.
- Temam O, Fricker C and Jalby W Cache interference phenomena Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems, (261-271)
- Seznec A Decoupled sectored caches Proceedings of the 21st annual international symposium on Computer architecture, (384-393)
- Seznec A (1994). Decoupled sectored caches, ACM SIGARCH Computer Architecture News, 22:2, (384-393), Online publication date: 1-Apr-1994.
- Proebsting T and Fraser C Detecting pipeline structural hazards quickly Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (280-286)
- Diwan A, Tarditi D and Moss E Memory subsystem performance of programs using copying garbage collection Proceedings of the 21st ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (1-14)
- Liedtke J (1994). A short note on implementing “new” machine instructions by software for efficient test of page accessibility, ACM SIGOPS Operating Systems Review, 28:1, (61-65), Online publication date: 1-Jan-1994.
- Drach N and Seznec A MIDEE Proceedings of the 26th annual international symposium on Microarchitecture, (193-201)
- Stanley T, Upton M, Sherhart P, Mudge T and Brown R A microarchitectural performance evaluation of a 3.2 Gbyte/s microprocessor bus Proceedings of the 26th annual international symposium on Microarchitecture, (31-40)
- Stone J, Stone H, Heidelberger P and Turek J (1993). Multiple Reservations and the Oklahoma Update, IEEE Parallel & Distributed Technology: Systems & Technology, 1:4, (58-71), Online publication date: 1-Nov-1993.
- Katcher D, Arakawa H and Strosnider J (2019). Engineering and Analysis of Fixed Priority Schedulers, IEEE Transactions on Software Engineering, 19:9, (920-934), Online publication date: 1-Sep-1993.
- Jégou Y and Temam O Speculative prefetching Proceedings of the 7th international conference on Supercomputing, (57-66)
- Ball T and Larus J Branch prediction for free Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation, (300-313)
- Wahbe R, Lucco S and Graham S Practical data breakpoints Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation, (1-12)
- Ball T and Larus J (2019). Branch prediction for free, ACM SIGPLAN Notices, 28:6, (300-313), Online publication date: 1-Jun-1993.
- Wahbe R, Lucco S and Graham S (2019). Practical data breakpoints, ACM SIGPLAN Notices, 28:6, (1-12), Online publication date: 1-Jun-1993.
- Seznec A A case for two-way skewed-associative caches Proceedings of the 20th annual international symposium on computer architecture, (169-178)
- Nagle D, Uhlig R, Stanley T, Sechrest S, Mudge T and Brown R Design tradeoffs for software-managed TLBs Proceedings of the 20th annual international symposium on computer architecture, (27-38)
- Seznec A (1993). A case for two-way skewed-associative caches, ACM SIGARCH Computer Architecture News, 21:2, (169-178), Online publication date: 1-May-1993.
- Nagle D, Uhlig R, Stanley T, Sechrest S, Mudge T and Brown R (1993). Design tradeoffs for software-managed TLBs, ACM SIGARCH Computer Architecture News, 21:2, (27-38), Online publication date: 1-May-1993.
- Sites R (1993). Alpha AXP architecture, Communications of the ACM, 36:2, (33-44), Online publication date: 1-Feb-1993.
- Kato T, Ono T and Bagherzadeh N Performance analysis and design methodology for a scalable superscalar architecture Proceedings of the 25th annual international symposium on Microarchitecture, (246-255)
- Wolfe A and Chanin A Executing compressed programs on an embedded RISC architecture Proceedings of the 25th annual international symposium on Microarchitecture, (81-91)
- Kato T, Ono T and Bagherzadeh N (1992). Performance analysis and design methodology for a scalable superscalar architecture, ACM SIGMICRO Newsletter, 23:1-2, (246-255), Online publication date: 10-Dec-1992.
- Wolfe A and Chanin A (2019). Executing compressed programs on an embedded RISC architecture, ACM SIGMICRO Newsletter, 23:1-2, (81-91), Online publication date: 10-Dec-1992.
- Wahbe R (2019). Efficient data breakpoints, ACM SIGPLAN Notices, 27:9, (200-212), Online publication date: 1-Sep-1992.
- Wahbe R Efficient data breakpoints Proceedings of the fifth international conference on Architectural support for programming languages and operating systems, (200-212)
- Olukotun K, Mudge T and Brown R Performance optimization of pipelined primary cache Proceedings of the 19th annual international symposium on Computer architecture, (181-190)
- Olukotun K, Mudge T and Brown R (2019). Performance optimization of pipelined primary cache, ACM SIGARCH Computer Architecture News, 20:2, (181-190), Online publication date: 1-May-1992.
Index Terms
- MIPS RISC architectures
Recommendations
MIPS: A microprocessor architecture
MIPS is a new single chip VLSI microprocessor. It attempts to achieve high performance with the use of a simplified instruction set, similar to those found in microengines. The processor is a fast pipelined engine without pipeline interlocks. Software ...
Design of FPGA Based Instruction Fetch & Decode Module of 32-bit RISC (MIPS) Processor
CSNT '11: Proceedings of the 2011 International Conference on Communication Systems and Network TechnologiesIn this paper, we analyze MIPS instruction format instruction data path decoder module function and design theory based on RISC CPU instruction set. Furthermore, we design instruction fetch (IF) module of 32-bit CPU based on RISC CPU instruction set. ...
A design of EPIC type processor based on MIPS architecture
AbstractThis paper proposes an EPIC (Explicitly Parallel Instruction Computing Architecture) type processor based on MIPS. VLIW processors can execute multiple instructions simultaneously, but due to dependency of instructions, it is often impossible to ...